Synopsys Design Platform通過三星 | 8LPP工藝技術認證

經矽驗證的參考設計流程為高性能, 低功耗應用帶來高質量結果和時間優勢

2018年5月31日, 中國 北京——全球第一大晶片自動化設計解決方案提供商及全球第一大晶片介面IP供應商, 資訊安全和軟體質量的全球領導者Synopsys (NASDAQ: SNPS) 宣布, Synopsys Design Platform已通過全球領先半導體技術企業三星電子的工藝認證, 支援三星代工部門的8nm LPP (低功耗+) 工藝. Synopsys Design Platform可以為8LPP工藝的多次圖形曝光光刻技術和全顏色感知變化技術, 提供完整的全流程支援.

Synopsys的SiliconSmart®庫表徵工具是開發認證過程和參考流程所需基礎IP的關鍵. 認證過程還包括一套與Synopsys Lynx設計系統相容的可擴展參考流程, 流程包含自動化指令碼或直譯式程式和設計最佳實踐案例. 用戶可以通過三星先進晶圓代工生態系統 (SAFE™) 計劃獲得該參考流程.

三星電子代工業務營銷副總裁Ryan Lee表示: '在行業切換到EUV (極紫外) 光刻技術之前, 我們的8LPP工藝可以提供最具競爭力的工藝優勢. Synopsys一直是我們在新工藝節點研發和賦能方面首選的合作供應商. 此次將8LPP在性能, 功耗和邏輯門密度方面的優勢, 與Synopsys Design Platform的高質量結果和時間優勢相結合, 可以使我們的共同客戶設計出滿足高性能, 低功耗應用的最具競爭力的8LPP片上系統 (SoC) 產品. '

Synopsys設計事業群營銷和業務開發副總裁Michael Jackson表示: '行業領先的客戶已經部署經矽驗證的Synopsys Design Platform去設計和生產速度更快, 功耗更低的8LPP晶片. 我們的參考設計流程通過三星 SAFE計劃得到廣泛應用, 讓設計人員可以快速, 安心地通過Synopsys Design Platform切換到三星的8LPP工藝, 充分利用8LPP更窄的金屬間距所帶來的結果質量優勢. '

基於Armv8-A 架構的64位Arm Cortex-A53處理器, 可以對結果質量 (QoR) 進行優化和流程認證. Synopsys Design Platform 8LPP參考流程的關鍵工具和功能包括: • IC Compiler™ II布局和布線: 多次圖形曝光和顏色感知的物理實現流程, 具有自動化電源及接地 (PG) 綜合與設計內置的電壓降感知改進. • Design Compiler® Graphical RTL綜合: 具有布線擁塞改善和物理引導功能, 與IC Compiler II密切關聯. • DFTMAX™和TetraMAX® II測試: 基於FinFET, 單元感知, 以及基於時序裕量的在速轉換測試, 可獲得更高的測試質量. • Formality® 形式驗證: 基於UPF的等價性檢查, 狀態轉換驗證. • IC Validator signoff物理驗證: 高性能的DRC signoff , LVS感知的短路查找器, signoff 填充, 模式匹配, 以及獨特的設計內置 (In-Design) 驗證, 可以在IC Compiler II中自動修複DRC, 以及實現準確感知時序的金屬填充. • PrimeTime®時序signoff: 具有模式合并, 採用先進波形傳播 (AWP) 的超低電壓時序signoff, 參量化片上變化 (POCV) 分析和感知布局規則的工程變更指令 (ECO) 指導等功能. • StarRC™提取: 多次圖形曝光, 全顏色感知變化和3D FinFET建模.

三星 SAFE™計劃現在已可提供與Synopsys的Lynx設計系統相容的經認證的可擴展參考流程. Lynx設計系統是一個全晶片設計環境, 包括創新的自動化和報告功能, 可幫助設計人員實施和監控其設計. 它提供了一個生產級RTL-to-GDSII流程, 可簡化和自動化完成許多關鍵的設計實現和驗證任務, 使工程師能夠專註於實現性能和設計目標. 三星 SAFE™計劃提供了三星代工經廣泛測試的工藝設計工具包 (PDK) 和參考流程 (包含設計方法) .

2016 GoodChinaBrand | ICP: 12011751 | China Exports