Synopsys Design Platform通过三星 | 8LPP工艺技术认证

经硅验证的参考设计流程为高性能, 低功耗应用带来高质量结果和时间优势

2018年5月31日, 中国 北京——全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商, 信息安全和软件质量的全球领导者Synopsys (NASDAQ: SNPS) 宣布, Synopsys Design Platform已通过全球领先半导体技术企业三星电子的工艺认证, 支持三星代工部门的8nm LPP (低功耗+) 工艺. Synopsys Design Platform可以为8LPP工艺的多次图形曝光光刻技术和全颜色感知变化技术, 提供完整的全流程支持.

Synopsys的SiliconSmart®库表征工具是开发认证过程和参考流程所需基础IP的关键. 认证过程还包括一套与Synopsys Lynx设计系统兼容的可扩展参考流程, 流程包含自动化脚本和设计最佳实践案例. 用户可以通过三星先进晶圆代工生态系统 (SAFE™) 计划获得该参考流程.

三星电子代工业务营销副总裁Ryan Lee表示: '在行业切换到EUV (极紫外) 光刻技术之前, 我们的8LPP工艺可以提供最具竞争力的工艺优势. Synopsys一直是我们在新工艺节点研发和赋能方面首选的合作供应商. 此次将8LPP在性能, 功耗和逻辑门密度方面的优势, 与Synopsys Design Platform的高质量结果和时间优势相结合, 可以使我们的共同客户设计出满足高性能, 低功耗应用的最具竞争力的8LPP片上系统 (SoC) 产品. '

Synopsys设计事业群营销和业务开发副总裁Michael Jackson表示: '行业领先的客户已经部署经硅验证的Synopsys Design Platform去设计和生产速度更快, 功耗更低的8LPP芯片. 我们的参考设计流程通过三星 SAFE计划得到广泛应用, 让设计人员可以快速, 安心地通过Synopsys Design Platform切换到三星的8LPP工艺, 充分利用8LPP更窄的金属间距所带来的结果质量优势. '

基于Armv8-A 架构的64位Arm Cortex-A53处理器, 可以对结果质量 (QoR) 进行优化和流程认证. Synopsys Design Platform 8LPP参考流程的关键工具和功能包括: • IC Compiler™ II布局和布线: 多次图形曝光和颜色感知的物理实现流程, 具有自动化电源及接地 (PG) 综合与设计内置的电压降感知改进. • Design Compiler® Graphical RTL综合: 具有布线拥塞改善和物理引导功能, 与IC Compiler II密切关联. • DFTMAX™和TetraMAX® II测试: 基于FinFET, 单元感知, 以及基于时序裕量的在速转换测试, 可获得更高的测试质量. • Formality® 形式验证: 基于UPF的等价性检查, 状态转换验证. • IC Validator signoff物理验证: 高性能的DRC signoff , LVS感知的短路查找器, signoff 填充, 模式匹配, 以及独特的设计内置 (In-Design) 验证, 可以在IC Compiler II中自动修复DRC, 以及实现准确感知时序的金属填充. • PrimeTime®时序signoff: 具有模式合并, 采用先进波形传播 (AWP) 的超低电压时序signoff, 参量化片上变化 (POCV) 分析和感知布局规则的工程变更指令 (ECO) 指导等功能. • StarRC™提取: 多次图形曝光, 全颜色感知变化和3D FinFET建模.

三星 SAFE™计划现在已可提供与Synopsys的Lynx设计系统兼容的经认证的可扩展参考流程. Lynx设计系统是一个全芯片设计环境, 包括创新的自动化和报告功能, 可帮助设计人员实施和监控其设计. 它提供了一个生产级RTL-to-GDSII流程, 可简化和自动化完成许多关键的设计实现和验证任务, 使工程师能够专注于实现性能和设计目标. 三星 SAFE™计划提供了三星代工经广泛测试的工艺设计工具包 (PDK) 和参考流程 (包含设计方法) .

2016 GoodChinaBrand | ICP: 12011751 | China Exports