IC Reinigungsgeräte Markt war eine Oligopol-Struktur, um zu sehen, wie die inländischen Hersteller in Scheiben schneiden

Abstract: Laut Statistiken macht die Anzahl der Reinigungsprozesse ein Drittel des gesamten Chipherstellungsprozesses aus und stellt einen wichtigen Teil der Chipherstellung dar. Derzeit sind in China Shengmei Semiconductor, North Huachuang und Zhichun Technology hauptsächlich für Reinigungsgeräte verantwortlich. Die Verantwortung der Lokalisierung.

Die Mikro-Netzwerk Nachrichten (Text / Mao Mao), in der Halbleiterindustrie, die Halbleiter-Geräte-Industrie in der am weitesten stromaufwärts der industriellen Kette spielt eine entscheidende Rolle.Auf dem Halbleiter-Equipment-Markt, in Bezug auf Prozess, Wafer-Herstellung Gerätekäufe entfallen etwa 80% der Gesamtmenge, Testausrüstung entfielen ungefähr 9%, Verpackungsausrüstung entfielen ungefähr 7%, andere Ausrüstung entfielen ungefähr 4% .Es kann gesehen werden, dass Wafer-Fabrikationsausrüstung der Kernteil der gesamten Halbleiterausrüstungsindustrie ist.

SEMI Bericht zeigt, dass im ersten Quartal 2018 weltweit Halbleiter-Fertigungsanlagen eines Umsatz von 17 Milliarden US-Dollar, eine Steigerung von 30%, ein Rekordhoch erreicht, nach heutigem Verständnis der Mikronetz, Waferherstellung Ausrüstung, sondern enthält auch acht Geräte, die geätzt werden Ausrüstung, Filmausrüstung, Lithographieausrüstung, Prozesssteuerung, Testausrüstung, Ionenimplantation, Reinigungsausrüstung, chemisch-mechanisches Polieren.

Quelle: BOC-Wertpapiere

Wobei die Reinigungsvorrichtung ein wichtiger Teil der Kette durch die Halbleiterindustrie, für Rohstoffe und in jedem Schritt der Reinigung können auf dem Halbzeug Verunreinigungen vorliegen, wirken sich die Verunreinigungen die Qualität des Produkts und stromabwärts der Produktleistung zu verhindern. Derzeit sind die Wafer-Reinigungsanlagen in der Fertigungsanlagen für etwa 5% der Beschaffungskosten entfallen, ist es in verschiedenen wichtigen Aspekten des Verfahrens zur Herstellung von Silizium-Wafer, Lithographie, Ätzen, Abscheidung und dergleichen weit verbreitet.

Globale Halbleiter-Reinigungsgeräte sind oligopolistisch

Nach Angaben der Öffentlichkeit wird der weltweite Markt für Reinigungsgeräte im Jahr 2017 2,7 Milliarden US-Dollar betragen, und mit dem Anstieg der Prozessknoten wird die Nachfrage nach Reinigungsgeräten weiter steigen, und zwar im Jahr 2020 3,5 bis 400 Millionen US-Dollar und im Jahr 2015-2020 die durchschnittliche jährliche Wachstumsrate. Gleichzeitig erwartet SEMI, dass Chinas Chiphersteller bis 2020 eine Nachfrage von 60 Milliarden Yuan nach Reinigungsgeräten haben werden.

Laut Statistik stellt die Anzahl der Reinigungsprozesse ein Drittel des gesamten Chip-Herstellungsprozesses, der ein wichtiger Teil der Chip-Herstellung ist, dar. Unter der Annahme einer monatlichen Produktionskapazität von 100.000 DRAM-Produktionslinien wird die Ausbeute um 1% reduziert. , wird zu einem Verlust von 30 bis 50 Millionen US-Dollar pro Jahr führen.Um den Ertrag zu verbessern, werden Unternehmen unweigerlich mehr Reinigungszeiten übernehmen.

Aus technischer Sicht gehören zu den üblichen Reinigungstechniken die Nassreinigung und die Trockenreinigung, wobei die Nassreinigung in der Industrie immer noch weit verbreitet ist und mehr als 90% der Reinigungsschritte ausmacht, während gleichzeitig die Unterschiede in der Prozesstechnologie und den Anwendungsbedingungen bestehen Gegenwärtig gibt es offensichtliche Unterschiede bei Reinigungsgeräten auf dem Markt.Zu den wichtigsten Reinigungsgeräten auf dem Markt gehören derzeit Einzelscheibenreinigungsgeräte, automatische Reinigungsstationen und Wäscher.

Während des gesamten globalen Halbleiter-Equipment-Markts, präsentiert die Industrie ein hohes Maß an Monopol, starke immer die Gewinner der Situation, und spezifisch auf die globalen Halbleiter-Reinigungsanlagen ist das gleiche. Derzeit ist der gesamte Reinigungsgeräte-Markt, halten japanische Unternehmen ein dominantes, etwa 60% des Markts Anteil des japanischen Schirm besetzt (Dien Shi), 30% des Marktanteils in Japan Elektron Tokyo (Tokyo Elektron) besetzen, andere Hersteller wie Korea SEMES (feinen gute Sache), Vereinigte Staaten Lam Research (Pan Lin) und so weiter.

Auf der anderen Seite konkurrieren gegenwärtig in den acht großen Waferherstellungsausrüstungen inländische Ausrüstungsunternehmen, und innerhalb des Umfangs einer einzelnen Prozessausrüstung konkurrieren wenige einheimische Ausrüstungsunternehmen miteinander, wobei die inländischen Marken jeweils auf einen oder zwei oder drei konzentrieren. Die Lokalisierung der Kernprozeßausrüstung Bezüglich der Reinigungsausrüstung gibt es hauptsächlich Shengmei Semiconductor, North Huachuang und Zhichun Technology Layout, und es gibt einen großen Unterschied zwischen den Produkten der drei.

Shengmei Semiconductor ist das stärkste Reinigungsmittel für Haushaltsgeräte

Gegenwärtig sind Shengmei Semiconductor, North Huachuang und Zhichun Technology für die Lokalisierung von Reinigungsgeräten verantwortlich, von denen Shengmei Semiconductor die stärkste technische Stärke hat und in einem größeren Teil des Reinigungsprozesses eine Substitution im Inland erreichen kann.

Quelle: BOC-Wertpapiere

Sheng Semiconductor wurde 1998 gegründet, ist seit 20 Jahren gegründet, und im vergangenen Jahr erfolgreich an der NASDAQ gelistet. Alle zusammen, Sheng Semiconductor Hauptreinigungsgeräte Single-Wafer und erstellt im Jahr 2009 eine Megaschall-Reinigungs ( SAPS) Technologie, zu einem Zeitpunkt, Hynix durch Reinigungsprobleme von kleinen Partikeln geplagt wird, wodurch Möglichkeiten, ACM erste 12 Zoll 45nm Einchip-Reinigungsgeräte Hynix Wuxi Produktionslinie Tests, die mit dem US-Sheng Hynix begannen einzutreten Erweitern Sie die langfristige Zusammenarbeit.

Laut dem Bericht von BOCI Securities betrug das Betriebsergebnis 2015-2017 86%, 24% bzw. 18,1% von Hynix, und ab 2017 verkaufte Shengmei mehr als 30 Reinigungsgeräte, einschließlich Hynix. Mehr als 20 Einheiten.Neben Hynix, Shengmei auch in den Yangtze River Storage, SMIC, Shanghai Huali, Changdian Technology und anderen fünf Kunden.

Aus der Sicht der technischen Stärke, Shengmei Semiconductors technische Stärke hat 14nm erreicht, und hat begonnen, mit Deanshi, Tokyo Electronics, Panlin und anderen Unternehmen zu konkurrieren.Es ist erwähnenswert, dass Shengmei im Jahr 2016 wieder eine Stromversorgung erstellt. Bubble-Shock-Megasonic-Reinigungstechnologie (TEBO) Derzeit hat die SAPS-Technologie 22 Erfindungspatente und die TEBO-Technologie gilt für 8 PCT-Patente.

Das Layout von North Huachuang und Zhichun Technology im Bereich der Reinigungsgeräte

Sheng Semiconductor mit verschiedenen Haupt Single-Wafer-Reinigungsanlagen, Norden CRE eine Trog Reinigungsanlage durch Akrion Erwerb von US-Unternehmen im August 2017 die Nord CRE Akrion auf $ 15 Millionen Erwerb der Firma erreichen. Es wird berichtet, dass sie Akrion befindet Pennsylvania Unternehmen auf der Unternehmens-Wafer-Reinigungsgeräte Geschäft konzentriert, vor allem für die Herstellung von integrierten Schaltungen, Silizium-Wafer Herstellung, MEMS und advanced Packaging Bereich hat das Unternehmen seit vielen Jahren der Reinigungstechnik und eine breiten Palette von Märkten gesammelt und Kundenbasis gesamte Online-Maschine mehr als Taiwan.

North Huachuang's selbst entwickelte 12-Zoll-Single-Chip-Reinigungsmaschine wird hauptsächlich in der Vorreinigung, regenerative Reinigung, Kupfer-Verbindungs-Reinigung und Aluminium-Pad Reinigungsprozesse im Chip-Prozess verwendet.Nach der Übernahme von Akrion, der nördlichen Huachuang Reinigung Die Produktlinie wurde weiter ergänzt: Bis jetzt hat North Huachuang 8-12-Zoll-Chargen und Singles gebildet, die Halbleiter in integrierten Schaltungen, Advanced Packaging, Power Devices, MEMS und Halbleiterbeleuchtung im Bereich von Reinigungsgeräten abdecken. Tablet-Reinigungsmaschine Produkte.

Zusätzlich zu Shengmei Semiconductor und North Huachuang hat Zhichun Technology auch ein Layout in Halbleiterreinigungsgeräten, und es basiert auch auf der Reinigung von Rinnen.Zu der reinen Technologie selbst gehört es, ultrareines Gas und speziellen chemischen Gastransport zu tun, also machen wir Nassverfahren. Die Ausrüstung hat bestimmte Vorteile: Im Jahr 2015 begann Zhichun Technology durch die Zusammenarbeit mit internationalen Reinigungsanlagenherstellern mit der Entwicklung von Nassprozessgeräten und gründete 2017 eine Tochtergesellschaft von Micro Semiconductor als eine unabhängige Halbleiter-Wet-Law-Abteilung, die High-End-Naßverfahren entwickelt. Entwicklungsplattform für die Herstellung von Maschinen.

Bis jetzt ist reine Forschung und Entwicklung in diesen Bereichen sehr fruchtbar war, wurde das Unternehmen im Jahr 2017 Trog nass Ultron B200 und B300 Ultron Reinigungsgeräte und Ultron S200 und S300 Ultron monolithische Nassreinigungsgeräte Produktlinie gebildet, und hat sechs Bestellungen.

Domestic Opportunities Reinigungsgerätehersteller

Laut SEMI-Daten zeigen, dass China Fab Ausrüstung Beschaffungsausgaben schließen im Jahr 2018 Festland auf $ 12 Milliarden, was eine Steigerung von 67% und übertrifft damit China Taiwan die zweitgrößte Halbleiter-Equipment-Markt der Welt werden, und bis zum Jahr 2019 wird die Menge von Halbleiter-Equipment-Beschaffung in China zu erwarten überschreiten Südkorea der erste Platz eine Steigerung von 58% auf $ 18 Milliarden, in der Welt.

Zweifellos wird der enorme Anstieg der Kapitalausgaben der Fabriken in Festlandchina enorme Marktchancen für im Inland produzierte Anlagen mit sich bringen, und Halbleiter-Reinigungsgeräte werden ebenfalls gute Entwicklungsperspektiven einläuten.Zusätzlich zu den Marktchancen werden sich auch Halbleiter-Reinigungsgeräte für den Hausgebrauch sehen Möglichkeiten in der fortschrittlichen Prozesstechnologie.

Wenn der Prozess des Chips schrumpft und der Speicher 2D sich in 3D ändert, wird der Herstellungsprozess kompliziert, was die Reinigung zu dem repetitivsten Schritt bei der Herstellung von Chips macht. Außerdem wird die Ausbeute der Waferherstellung mit der Linienbreite abnehmen. Eine der Möglichkeiten, die Ausbeute zu erhöhen, ist die Erhöhung des Reinigungsprozesses: Im 80-60-nm-Prozess beträgt der Reinigungsprozess etwa 100 Schritte und im 20-10-nm-Prozess steigt der Reinigungsprozess auf mehr als 200 Schritte an.

Obwohl der globalen Halbleiter-Equipment-Markt, ist die Stärke des inländischen Halbleiter-Equipment-Herstellers ist immer noch sehr schwach, aber der Weg zum Erfolg nicht bereits erreicht werden, vielleicht einschließlich Reinigungsgeräte und andere Segmente Schritt für Schritt einen Teil Durchbruch zu erzielen, ist die inländischen Halbleiter-Hersteller und Geräte der beste Weg in den internationalen Giganten zu konkurrieren.

2016 GoodChinaBrand | ICP: 12011751 | China Exports