Aus dem Osten stieg | FD-SOI für die große Gelegenheit vorbereiten IoT zu machen

Zu sagen, die nächsten fünf Jahre 2018 und meistgesehenen Halbleiterprozesstechnologie, zusätzlich zu dem kommenden Produktionsspitze 7 nm FinFET-Prozess, zu erwarten vollständig eingeführt und extrem ultraviolette (EUV) 5-nm Prozessknoten Lithographietechnologie, verschiedene Kristall Runde Gießerei konzentrierte sich auf eine breite Palette von Anwendungen, umfassenden Internet der Dinge (IoT) Markt für Low-Power-, Low-Cost-Komponenten Nachfrage und der Einführung einer Vielzahl von Low-Level-Prozesstechnologie Optionen, aber auch der Fokus der Branche.

Zu sagen, die nächsten fünf Jahre 2018 und meistgesehenen Halbleiterprozesstechnologie, zusätzlich zu dem kommenden Produktionsspitze 7 nm FinFET-Prozess, zu erwarten vollständig eingeführt und extrem ultraviolette (EUV) 5-nm Prozessknoten Lithographietechnologie, verschiedene Kristall Runde Gießerei konzentrierte sich auf eine breite Palette von Anwendungen, umfassenden Internet der Dinge (IoT) Markt für Low-Power-, Low-Cost-Komponenten Nachfrage und der Einführung einer Vielzahl von Low-Level-Prozesstechnologie Optionen, aber auch der Fokus der Branche.

Wie die 16 und 12-Nanometer FFC (FinFET Compact Technology) von Wafer Foundry TSMC, 22 Nanometer Ultra Low Power (ULP), 28 Nanometer HPC / HPC + und 40 Nanometer ULP, 55 Nanometer ULP und geringer Stromverbrauch (LP), Intels 22-nm-Low-Power-FinFET-Prozess (22FFL), GlobalFoundries 28-nm-HPP (High Performance Plus) / SLP (Super Low Power), 22FDX-Prozess und Samsung Electronics Samsungs 28-nm-FDSOI, LPP, LPH ... und mehr sind Lösungen, die die Anforderungen einer breiten Palette von IoT-Anwendungen erfüllen.

Einer der größten Unterschiede zwischen dem FDX-Prozess von GlobalFoundries und dem FD-SOI-Prozess von Samsung ist neben anderen konkurrierenden Lösungen die Verwendung von Fully Depleted Silicon, das sowohl in Englisch als auch in Chinesisch sehr schwer auszusprechen ist. Silicon On Insulator, FD-SOI) Technologie, die 2011 vom SOI Industry Consortium, STMicroelectronics und seinen Forschungs- und Entwicklungspartnern IBM, GlobalFoundries und Samsung in der Branche eingeführt wurde, Die 28nm und 20 (22nm) Nano-Knoten können die äquivalente Leistung von FinFET-Prozessen der nächsten Generation erreichen, die von Intel, TSMC usw. unterstützt werden, mit geringeren Kosten und weniger Risiko.

Vorteile der FD-SOI-Technologie?

Anders als die 3D-Transistorstruktur, die im FinFET-Prozess verwendet wird, ist der FD-SOI ein planarer Prozess. Nach der offiziellen Fachliteratur von ST im Netz hat FD-SOI zwei große Innovationen: Die erste ist die Verwendung von vergrabenem Oxid (BOX) Dünne isolierende Schicht auf dem Siliziumsubstrat platziert, dann wird der dünne Siliziumfilm in dem Transistorkanal eingesetzt, wegen seiner ultradünnen Dicke braucht der Kanal keine Dotierung (Dotierung), so dass der Transistor in den obigen zwei vollständig verarmt werden kann Die Kombination aller Arten innovativer Technologien nennt sich "ultradünner Körper und vergrabenes Oxid FD-SOI (UTBB-FD-SOI)".

ST sagte, dass FD-SOI eine bessere Transistor-ESD-Leistung als herkömmliche Bulk-Silizium-Technologie bietet, während vergrabenes Oxid die parasitäre Kapazität zwischen Source und Drain reduziert; Darüber hinaus begrenzt diese Technik effektiv den Elektronenfluss zwischen der Source und dem Drain und reduziert drastisch den Leckstrom, der die Leistung der Vorrichtung beeinflusst (Abbildung 1) Zusätzlich zum Gate kann FD-SOI auch verwendet werden, um das darunter liegende Substrat zu polarisieren Um das Transistorverhalten zu steuern, ähnlich der Bulk-Bias, die Bulk-Silizium-Technologie erreichen kann.

Abbildung 1: Bulk-Silizium-Prozess und FD-SOI-Prozess Transistor Strukturvergleich (Quelle: STMicroelectronics)

Die Bulk-Bias der Bulk-Silizium-Technologie ist jedoch sehr begrenzt, da der parasitäre Leckstrom und die Transistorgeometrie den Wirkungsgrad des Transistors verringert und FD-SOI aufgrund der Kristallstruktur und der Vorspannungswirksamkeit der ultradünnen Isolierschicht besser ist. , Vergrabenes Oxid kann auch eine höhere Substratvorspannung erreichen, um eine dynamische Durchbrechungssteuerung des Kristalls zu erreichen - wenn das Substrat die Polarisation der Vorwärtsrichtung ist, die Vorwärts-Matrixvorspannung (FBB) ist, die Transistorschaltgeschwindigkeit Kann beschleunigen und somit die Komponentenleistung und den Stromverbrauch optimieren.

Die ST sagen, FD-SOI FBB kann einfach und dynamisch während des Betriebs der Transistoren eingestellt erreicht werden, hohe Flexibilität für den Konstrukteur zur Verfügung zu stellen, ist in hohem Grade insbesondere dann erforderlich, ist es für die Leistung des Elements Leistung und die Drehzahl der Antriebs nicht kritisch ist, und daher ist das Objekt, vernetzte oder portable / tragbare elektronische Gerät ideal für Anwendungen in der Unterhaltungslösungen.

Marktforschungsunternehmen International Business Strategies (IBS) CEO Handel Jones in einem Bericht im Jahr 2014 veröffentlicht wurde, schrieb: „Die gleiche Größe 100 mm Quadrat Chip, die Kosten der Verwendung von 28 nm FD-SOI-Prozess ist niedriger als Bulk-CMOS-Prozess 3 %, Was weiter um 30% am 20 nm-Knoten verringert werden kann.Dies liegt daran, je höher die Ausbeute der Parameter ist, desto niedriger die Wafer-Kosten.Zusätzlich wird die Chip-Komplexität des FD-SOI-Prozesses mit der des Bulk-CMOS-Prozessesverglichen unteren 10% bis 12%.

Jones sagte weiter: "Die Kombination aus kleinerer Chipfläche und höherer parametrischer Ausbeute ergibt 20% mehr Produktkostenvorteil gegenüber dem 20-nm-Knoten für den FD-SOI-Prozess und 20% für den Massen-CMOS-Prozess. SOI liefert bis zu 15% bessere Leistung als 20nm Bulk CMOS. "Er wies auch darauf hin:" Der FD-SOI-Prozess bietet höhere Energiewirkungsgrade für High / Low-Vdd-Prozesse als Bulk-CMOS-Prozesse. Die Leistungseffizienz von FD-SOI über Bitzellen ist auch höher als Bulk-CMOS aufgrund von geringeren Leckströmen und besserer Immunität gegenüber Alphateilchen.

FD-SOI-Prozess: Westlicher kalter, heißer Osten

FD-SOI soll jedoch viele der oben beschriebenen Vorteile aufweisen, und der Herstellungsertrag, die Kosten von proprietären Wafern und die Stabilität der Bezugsquelle sowie die genaue Zeitgeschichte der Massenproduktion und die gesamte technische Unterstützung für die Integrität des Ökosystems gehören immer noch zur Industrie So viele FD-SOI Unterstützer in Europa, einschließlich ST, NXP (NXP) und andere Unterstützer, Samsung, GlobalFoundries auch aktiv fördern ihre eigenen FD-SOI Foundry-Geschäft, die Technologie in der Marktdiskussion von Hitze und Sichtbarkeit war gering Vor allem im Westen.

Es kam im Februar 2017 kündigte Globalpläne $ 10 Milliarden zu investieren, zu etablieren 12-Zoll-Wafer-Fab (Abbildung 2) in Chengdu, Western High-Tech, im Jahr 2018 begann die erste Phase der Produktionslinie Betrieb von übertragen wird das Werk in Singapur Unternehmen reifer der 180/130-nm-Prozess wird die zweite Phase für den Transfer von seiner Dresden, Deutschland (Dresden) -Anlage 22FDX FD-SOI-Prozess Fertigungslinie erwartet Operationen im Jahr 2019 beginnen; diese Nachricht in der Halbleiterindustrie verursachte eine enorme Reaktion, zusätzlich wieder löschen die ehrgeizige Entwicklung der Festland inländisches Halbleiter-Industrie-Kette, auch im Namen von FD-SOI-Fertigungsprozess ‚Hauptfront‘ wird auf dem Festland leuchten.

2 zeigt: Global 12-Zoll-Anlage gebaut in Chengdu Fab 11 2019 geschätzte Produktionslinie für den zweiten Stufe 22FDX FD-SOI-Prozess (Quelle: Global)

Das chinesische Festland bekundete sein großes Interesse an der FD-SOI-Technologie bereits im Jahr 2015, als Wayne Dai, Geschäftsführer von VeriSilicon, einem Anbieter von IC-Design-Dienstleistungen in Festlandchina, EE Times interviewte, anstatt dies kontinuierlich in FinFET-Prozesse zu investieren Als Nachfolger von TSMC oder Intel glaubt er, dass das Festland in FD-SOI investieren und diese Technologie als Alternative zu Low-Power-Prozessen nutzen sollte, außerdem wird das Shanghai Simgui mit der Massenproduktion des ersten 8-Zollers beginnen SOI-Wafer mit der Smart-Cut-Prozesstechnologie von Soitc, dem strategischen Partner des Unternehmens.

Es gibt auch eine Investitionsplattform, die von einem großen Fonds gegründet wurde: Die National Silicon Industry Group (NSIG) kündigte ihre 14,5% ige Beteiligung an Soitec im Jahr 2016 an, die Chip-Foundry Shanghai Huali Microelectronics Corp.) enthüllte Pläne für Investitionen in FD-SOI-Produktionslinien, bevor GlobalFoundries seinen Investitionsplan für das Chengdu-Werk ankündigte, aber kein spezifischer Zeitplan verfügbar ist, was darauf hinweist, dass der FD-SOI Teil des Blueprints für die Halbleiterindustrie auf dem chinesischen Festland sein wird Kann dies bisher auf dem westlichen Weltmarkt etwas weniger geschickte Technologie, glänzend in den Osten Marktfieber.

Nach Aussage, wenn Alain Mutricy, Senioren Vice President für Produktmanagement bei Global Interview mit EE Times Mai 2017, das Unternehmen nur den ersten Schritt in Chengdu, um Fabriken investiert, auf lokales, Festland die Einrichtung von FD-SOI-Ökosystem sein, ohne Hilfe die nächsten wird Fabless-IC-Design-Unternehmen und Design-Services Unternehmen einen leichteren Zugang zu den erforderlichen IP und Tools.

Der IoT-Prozesskrieg wird bald explodieren

Das Ende September 2017 auf dem Fünften Shanghai FD-SOI SOI Industry Alliance Forum gehostet, veröffentlicht Global CEO Sanjay Jha der Keynote energisch den FD-SOI-Prozess wieder fördern, und eine einzige 22-Nanometer-── kleinste Maske adoptieren Knoten, die Dinge sind auch geeignet, und andere tragbare Geräte Kosten / Leistungssensitive Anwendungen, erwartet ein ‚langes Leben‘ sein ── Knoten auf dem Markt als Referenz, das Unternehmen Prozesse und Intel 22FFL 22FDX Prozess, Prozess TSMC 22ULP Leistungsvergleich (Abbildung 3).

Abbildung 3: GlobalFoundries, TSMC und Intel 22-Nanometer-Prozessleistungsvergleich (Quelle: GlobalFoundries)

Er sagte, in Jha nach Keynote Empfang EE Times China Zugang: ‚von ihm über die Kosten, die 22-nm-FinFET Wenn Planar-Technologie, Prozessschritte hohes Maß an Prozesssteuerung für FDX komplexer sein wird, die zugrunde liegenden Kosten des Substrats sein kann. wird ein wenig höher sein. Analogie ist in der Tat schwer zu entsprechenden Kostenstrukturen, aber die Wirtschaftlichkeit unserer Produkte auf der Festland fab Bauinvestitionen und Maßstab, von den Produktionskosten, die Technologie im Vergleich zu Intel erwägt, kann es ein leichter Vorteil sein . '

Zum gleichen einem Forum, von IBS CEO Jones weiter vorgeschlagen, FD-SOI-Fertigungsprozess der Gate Kosten (Kosten pro Tor) Analyse (Abbildung 4), stellt er fest, dass 28 nm FD-SOI-Prozess und 28nm hohe dielektrische Metall-Gate- Pol (der HKMG) bulk CMOS-Gate von erheblichen Kosten, ein 22 nm Gate FD-SOI Kosten wettbewerbsfähig zu bleiben, und auf die nächste Generation 12 nm FD-SOI, weil weniger Maskenschichten, Gate Ultimative Kosten liegen um 22,4% unter dem 16nm FinFET-Prozess, 23,4% unter dem 10nm FinFET und 27% unter dem 7nm FinFET, während der niedrige Stromverbrauch des FD-SOI sicherlich besser ist als der FinFET.

4 Figur: FD-SOI-Prozess und FinFET-Gate Kostenvergleich (Quelle: IBS)

Weiteres Jones vorgeschlagen Software- und Hardware-Design jeden Prozessknotens Kostenvergleich (Fig. 5), entwickelt, um die Kosten der FD-SOI 12 nm zwischen 5.000 bis $ 55 Millionen zu schätzen, und 16-Nanometer-FinFET-Design etwa $ 72 Millionen kosten, 10-Nanometer-FinFET-Design kostet etwa $ 131 Millionen, Einnahmen wegen der Notwendigkeit, das Ergebnis zu entwerfen ist das 10-fache der Kosten, so 12 nm FD-SOI potenzielle Größe des Marktes (TAM) und 10 nm FinFET größer als 16 nm sein.

Abbildung 5: Konstruktion eines jeden Prozessknoten Kostenvergleich (Quelle: von IBS)

FD-SOI integrierte Low-Power, einfach integrierende HF-Eigenschaften, wie beispielsweise Kostenvorteile, Globalmobilgerät, Vernetzung, drahtlose Kommunikation (5 g / LTE / Wi-Fi), und Automotive (ADAS / Fahrzeug-Kommunikation) als Beliebte Anwendungen des Herstellungsprozesses; Jones glaubt, dass die bestehenden 28-Nanometer-Prozesselemente, neun Chengdu für die Lenkung FD-SOI-Prozess, die TAM-Skala geschätzt wird $ 17,1 Milliarden (Abbildung 6) im Jahr 2018, 2025 zu erreichen und sogar bis zu $ 18,4 Mrd., öffentlich-FD-SOI-Technologie-Anbieter, wie viel Umsatz wurde tatsächlich ihr Glück anderswo gemacht, und die Marktchancen Dinge Prozess Sperren war Krieg und überall rauchen.

Abbildung 6: 22-nm-FD-SOI hergestellt Cheng Qian Marktgröße Prognose (Quelle: IBS)

IC-Hersteller eifrig Festland, Taiwan Hersteller tun?

Laut Statistiken von GlobalFoundries auf dem 5. FD-SOI Forum in Shanghai hat der 22FDX-Prozess des Unternehmens insgesamt 135 Kunden gewonnen, von denen 20 bis Ende 2017 in den Multi-Projekt-Wafer (MPW) -Test eintreten werden 15 von ihnen werden Ende 2018 offiziell in Betrieb genommen. Unter den Kunden, die in die Testdesign- / Projektphase gehen, sind 10 Hersteller aus China.

Global kündigte nach dem Bau der neuen Anlage Investitionen in Höhe von 12 Zoll von Milliarden Dollar im Februar 2017 wieder im selben Jahr mit der Chengdu Municipal Government gemeinsam in Chengdu bekannt, dass sie 6 Jahren werden zusammenarbeiten, mit einer Gesamtinvestition bauen Skala von mehr als 100 Millionen Dollar ‚Weltklasse-FD-SOI-Ökosystem‘, mehr F & E-Zentrum in Chengdu, sowie Forschungsprojekte in Zusammenarbeit mit Universitäten abdecken will mehr Top-Halbleiter-Hersteller in Chengdu, Chengdu und ‚nächster Generation gewinnen Chip-Design COE.

Wird die Chengdu FD-SOI-Ökosystem von Unternehmen kommen, einschließlich EDA-Anbieter Cadence, Synopsys, design services Unternehmen VeriSilicon, Invecas sowie Chip-Designer MediaTek (MediaTek), Rockchip (Rockchip), Shanghai Fudan Microelectronics (Shanghai Fudan Mikroelektronik Konzerngesellschaft) und so weiter; VeriSilicon Wayne Dai in einem Interview mit EE Times-Interview, die F & E-Finanzierung von Chengdu FD-SOI-ökologische Investitionssystem zu erhalten, 'muss jedes Unternehmen R & D-Team in Chengdu einsetzen.'

Dai Weimin wies darauf hin, dass FD-SOI-Unterstützer die Grundlagen für das Festland gelegt haben und weiterhin lokale Chiphersteller und IC-Designingenieure, Regierungsbeamte und private Investmentfonds durch Veranstaltungen wie das Shanghai FD-SOI Forum fördern. Seiner Meinung nach gibt es mehrere Schlüsselpunkte, um das FD-SOI-Ökosystem zu erweitern: die Machbarkeit der Verwendung von FD-SOI für Mixed-Signal- und RF-Design, die Unterstützung von Design-Service-Providern wie Verbatim und die Implementierung von Substrat-Bias-Design-Flows Tools, Design-Ausbildung, Seminare, Universitätskurse, Labs und Lehrbücher und staatliche Unterstützung.

Laut GlobalFoundries haben FDXcelerator-Partner im FD-SOI-Prozess seit September 2017 33 erreicht (Abbildung 7), während globale IC-Hersteller verzweifelt nach FD-SOI-Technologie suchen und sich das lokale industrielle Ökosystem allmählich bildet. Es deckt die vorgelagerten und nachgelagerten Hersteller in der Halbleiterindustriekette ab, obwohl Taiwan eine sehr kleine Anzahl von Herstellern einnimmt, einschließlich des Verpackungs- und Testgiganten ASE, des eingebetteten Speicher-IP-Providers eMemory und des Prozessor-IP-Providers Anxin Technologie (Anden).

Abbildung 7: GlobalFoundries gründet aktives industrielles Ökosystem für FD-SOI-Prozesse (Quelle: GlobalFoundries)

Andes Technologie General Manager Lin Zhiming Interview mit EE Times, sagte, das Unternehmen ist, dass die US-IC-Design-Dienstleistungen Unternehmen Invecas Zusammenarbeit und langfristige Partner Global im Jahr 2015, ist es 32 Yuan N7 Prozessorkern unter Verwendung von Referenz FD-SOI-Herstellungsprozesses importiert Design, und gewann später die 22FDX-Prozessvalidierung von GlobalFoundries.

Er wies darauf hin, dass der Prozessorkern ursprünglich Kristallherz des niedrigen Stromverbrauch entwickelt, hohe Effizienz Anforderungen seiner N7, N8 und N9 Serie haben die Vernetzung und tragbare Unterhaltungselektronik-Markt verwenden, einschließlich Smart stationierten Uhr erhalten worden , intelligenter Sprachassistent in den gleichen Richtung, Spielekonsolen und tragbare Karaoke OK Mikrofon-Gerät usw., der Zielmarkt und FD-SOI-Technologie wird dieser Prozess Option mit Kristallherz von IP, wird erwartet, dass ein besseres Energiespar-Design für Kunden bringen Effektivität.

Abbildung 8: Andes Technologie General Manager Lin Zhiming: FD-SOI-Fertigungsprozess Ende Zielmarkt ist nur sehr im Einklang mit unserer Produktlinie

Taiwan Halbleiter-IP-Anbieter verpassen Sie nicht das FD-SOI-Ökosystem, aber wenn Taiwan IC-Design-Unternehmen werden folgen? Neben bereits die Vorbereitung des Chengdu FD-SOI-Ökosystem MediaTek, Taiwan lokale Hersteller dieser Technologie Haltung zu verbinden so kühl und westliche Märkte, wie ein Halbleiter-Testlabor iST (sIND) sagten, nach FD-SOI versuchen Taiwans IC-Design-Unternehmen sind immer noch eine Minderheit zu verstehen, ist zu erwarten, viel langsame Geschwindigkeit der Prozess der Annahme sein, als auf dem Festland Hersteller .

Epilog

Taiwans Marktforschungsunternehmen DRAMeXchange (Trendforce) Topology Research Institute Semiconductor Research Center Analyst Huang Zhiyu sagte, dass die aktuelle globale FD-SOI-Produktionskapazität Statistiken sind nicht ohne weiteres verfügbar, nur grob geschätzt werden kann, dass der Prozess der globalen Gießerei nimmt 2017 der Anteil von Verkaufspersonal ist etwa 0,2%, und er wies auch darauf hin, dass China aktiv FD-SOI-Industrie-Kette, die Einführung des Waferhersteller Soitec, Gießerei Global, Taiwan Gießereien 28nm Wettbewerbsfähigkeit etabliert es könnte einen Einfluss haben.

Aber Huang Zhiyu wies auch darauf hin, dass das Festland einen Punkt FD-SOI-Produktion schnitzen zu beobachten, in der Zeit auch sehr wichtig ist, müssen wir auch die tatsächliche Produktionsmaßstab Abschreibungssituation auf dem Markt betrachten beide Gießereien und 28-nm-FD-SOI sind: ‚Wenn FD-SOI-Prozess Produktionsmaßstab klein ist, sind die Kosten relativ hoch ist, und wenn die Gießerei Abschreibungs Situation gut, wird ein günstigeres 28nm Preis zur Verfügung stellen, so um Endprodukt wettbewerbskostenorientiert sind, FD-SOI es kann nicht von Vorteil sein. "

28-nm-Prozess kann gesagt werden, dass Taiwans Gießerei Hutch Union UMC (UMC), TSMCs "Cash-Kuh", einschließlich TSMC im Jahr 2017 28-Nanometer-Wafer-Lieferungen einen Rekordwert von 180.000, das Node-Camp Im dritten Quartal 2017 belegte TSMC mit 27% immer noch die höchsten Quartalsumsätze und lag damit über dem fortgeschrittenen 16/20-nm-Knoten. Im dritten Quartal verzeichnete UMC eine Abschwächung der Marktnachfrage nach 28-nm-HKMG.

Welcher Einfluss FD-SOI-Prozess bedrohlicher bringen wird zu dem bestehenden 28-Nanometer-Foundry-Markt? Sind die Festland Halbleiterindustrie seit der Entwicklung der FD-SOI-Technologie und die Geschichte neu schreiben? Kommend aus TSMC neuen 22-Nanometer Prozess und ob sie Marktmacht erhalten? Internet der Dinge Marktchancen, UMC 28-Nanometer-Prozess nach der Wende zurückgegriffen, was die neuen ‚Waffe‘? 2018 Entwicklungen auf dem Halbleitermarkt im wert nach einer weiteren Beobachtung!

2016 GoodChinaBrand | ICP: 12011751 | China Exports