Los precios DRAM "calientes" comenzarán a disminuir este año, la demanda de dispositivos de obleas crecerá

1.IC Insights: los precios de DRAM comenzarán a disminuir este año, 2.10 / 7nm, el mercado de la memoria continúa impulsando el crecimiento de la demanda de equipos de obleas

Se lanzará al mercado el número de circuitos integrados de micro-micro-canal de la red pública: 'todos los días IC', comunicado de prensa importante instante, cada IC, micro red configurar todos los días, integrado en el micro-replicación laoyaoic micro-canales número público Buscar Añadir atención !.

1.Ideas de IC: los precios de DRAM comenzarán a disminuir este año;

Establecer noticias micro red, la investigación órgano de coordinación IC Insights dio a conocer un nuevo informe, la cantidad de ventas de la fábrica de DRAM en el cuarto trimestre de 2017 llegará a un pico máximo histórico, que se estima que alcance los $ 21.1 mil millones, $ 12.8 mil millones eran más grandes que el cuarto trimestre de 2016 en un 65%. IC Insights dijo, de acuerdo con la experiencia histórica, la industria de DRAM en un futuro próximo, la economía puede experimentar un largo período de tendencia a la baja, ya que como DRAM capacidad de producción, los precios comenzarán a disminuir este año, el descenso fue de más miedo 2 Años

Recordando el beneficio 2017 del centro de datos necesita para conducir DRAM servidor de calentamiento significativo, mientras que los teléfonos inteligentes y otros dispositivos móviles producto utiliza baja potencia de alta densidad DRAM también ha crecido, en 2017 los precios de cotización de DRAM todo el camino hasta el cuarto trimestre sigue siendo fuerte IC Insights estima que las ventas de DRAM del cuarto trimestre de 2017 alcanzarán los 21.100 millones de dólares USA, un aumento del 65%, estableciendo un récord.

IC Insights estima que en 2017 la tasa de crecimiento anual del mercado de DRAM alcanzará el 74 por ciento, superado los $ 31 de billón de escala, por lo que el nuevo registro, hay cinco factores principales incentivos, incluido el almacenamiento limitada vendedores la capacidad de suministro, la tecnología de 20 nanómetros Mayor dificultad, demanda de gráficos DRAM, servidor DRAM y DRAM móvil.

memoria para teléfonos móviles, por ejemplo, un teléfono inteligente equipado con capacidad de memoria DRAM creciente, Apple iPhone 8 tiene una DRAM de 2 GB, iPhone X tiene una DRAM 3 GB de Samsung Galaxy S8 utiliza 4 GB de memoria DRAM. Huawei P10 Plus y HTC están equipadas con 6 GB de U11 DRAM. principalmente famoso equipo de videojuegos del primer teléfono inteligente de Singapur Razer One Plus 5 modelo también cuenta con 8 GB de memoria DRAM.

Sin embargo, IC Insights recordatorio, en base a las tendencias históricas, la industria de DRAM en un futuro próximo, la economía puede experimentar un largo período de tendencia a la baja, así como un aumento sustancial con el aumento de la DRAM capacidad de producción, los precios comenzarán a declinar, el más largo El miedo será de 2 años.

Samsung y Hynix anunció nuevas inversiones los gastos de capital en nuevas capacidades de producción de DRAM continuará para pilotar la segunda mitad de 2018, que podría aliviar las ganancias en la primera mitad de 2018. DRAM de Samsung de la planta Sur Han Pingze se espera que en el cuarto trimestre 2018 la producción masiva de DRAM, Hynix también ha anunciado planes para construir una nueva línea de producción en la planta de Wuxi, china.

IC Insights también dijo que antes de que el gigante de la DRAM 2 Samsung y Hynix tendrá nueva producción tallar, ocupando el tercer lugar más grande Micron no puede quedarse de brazos cruzados, sobre todo bajo la intensa competencia industria de DRAM, Micron también es posible volver a construir una nueva oblea Fábrica.

2.10 / 7nm, el mercado de la memoria continúa promoviendo el crecimiento de la demanda de equipos de obleas

Set Micro Red de Noticias (compilador / Danyang) Debido a la gran demanda de dispositivos NAND y DRAM 3D, proveedor Fab en 2017 marcó el comienzo de un período de prosperidad. Sin embargo, en los dispositivos de lógica / obleas, la demanda de equipos es todavía relativamente en 2017 tibia. en 2018, la demanda de equipos se ve fuerte, a pesar de que la industria va a ser difícil durante 2017 años del conjunto de registros. de hecho, según las proyecciones actuales, se espera que el mercado de equipos IC enfriar en 2018, luego se volvió Modo de crecimiento más normal.

De acuerdo con los datos de VLSI Research muestran que el esperado mercado de equipos de 2.017 semiconductores llegará a $ 70,4 mil millones, un incremento del 30,6% con respecto a 2016 a $ 53,9 mil millones, mientras que en el año 2018, se espera que el mercado de accesorios CI para llegar a $ 73,5 mil millones, un 4,4% en 2017 .

Figura 1: Crecimiento del mercado de equipos semiconductores

Por supuesto, estas proyecciones pueden variar, debido a que muchos factores pueden afectar a la industria Fab, tales como los factores económicos y políticos desempeñarán un papel importante en la arena.

Sin embargo, los vendedores fab siendo muy optimista. Vicepresidente Arthur Sherman Applied Materials Mercado de marketing y desarrollo de negocios, dijo que espera WFE (oblea equipos FAB) mercado aumentará en 2018 debido a una demanda más fuerte. Dijo Oficial, como vendedores añadir más funcionalidad, el contenido de silicio de los teléfonos inteligentes y otros dispositivos móviles también está aumentando. Además, hay algunas tendencias emergentes, como la IO, grandes volúmenes de datos, inteligencia artificial y los automóviles inteligentes, son también Están esperando el rendimiento del mercado fabuloso.

De esta manera, estaremos en 2018 y más allá gasto equipos impacto en los principales mercados analizados. ① Algunos fabricantes de chips tendrán una transición a un nodo de la lógica 10 nm / 7 nm de 16 nm / 14nm en 2018, un movimiento que podría conducir a la fundición / necesidades de equipamiento en el campo de la lógica se dispararon. ②3D NAND serán los principales impulsores de los equipos en el año 2018, según datos de IC Insights en 3D NAND, los gastos de capital de Samsung en 2017 alcanzará un asombroso $ 14 mil millones. ③ Samsung los gastos de capital total en 2017 era de $ 26 mil millones, incluyendo 3D NAND, DRAM ($ 7 mil millones) y la fundición ($ 5 mil millones). ④ china sigue siendo un foco de inversión actividad fab equipos, compañías multinacionales y fabricantes de chips internos tienen planes construcción de la nueva fábrica en china. ⑤ se espera ultravioleta extremo (EUV) tecnología de litografía para producir en 2018, pero la tecnología tradicional de la litografía multimodo seguirá siendo un equipo inminente fabricantes deben abordar el asunto. ⑥ 2018 Nian, 200mm La fábrica sigue escaseando.

El mercado de IC es bueno, la demanda de fab es urgente

De acuerdo con el informe "Semiconductor Estadísticas de comercio mundial" (WSTS) muestra que 2.017 mercado IC llegará a $ 409 mil millones, un 20,6 por ciento respecto de 2016, según las estadísticas WSTS, en 2018, la industria del IC alcanzará $ el 43,7 mil millones, un incremento del 7 de 2017 %

En nombre de la industria es relativamente estable. Analistas Sebastián Hou CLSA dijo que, en general, se espera que el sector industrial de 2017 a crecer un 7% en el año 2018, se espera que el negocio de la fundición que crezca un 6-7%, pero en el campo de los equipos, no hay ninguna previsión Por ejemplo, a finales de 2016, hay muchas personas predijeron certeza, oblea equipos Fab (WFE) de mercado crecerá de $ 33,5 mil millones 2017 a $ 34 mil millones, un aumento de alrededor del 5% más alto que en el año 2016 debido al aumento en el gasto equipos 3D NAND expectativas, el mercado de WFE ha excedido, vicepresidente de soluciones globales de clientes KLA-Tencor y director de marketing Oreste Donzella dijeron que la meta antes de 2017 WFE es más de $ 45 mil millones, un aumento del 20% al 25% en el mismo período del año anterior, una descripción de previsión La incertidumbre

Este impulso continuará en 2018, ¿verdad? Hasta el momento, parece ser relativamente estable, los proveedores son cautelosamente optimistas. Donzella dijo que espera que la WFE 2018 2017 porcentaje de crecimiento de un solo dígito.

En otra predicción, SEMI espera que 2017 las ventas de equipos de $ 55,9 mil millones, un incremento del 35,6% con respecto a 2016. SEMI, dijo en 2018, el mercado de equipos llegará a $ 60.1 mil millones, un incremento del 7,5% con respecto a 2016.

Figura 2: pronóstico de equipos de fin de año

En los tres conductores principales de crecimiento herramienta fabulosa proveedores de DRAM, NAND y fundición / lógica, la demanda WFE parece ser fiable. Donzella dijo que el crecimiento de los ingresos, especialmente mercado de memoria (DRAM y NAND 3D) es muy fuerte, se espera el próximo año WFE será mucho mayor. controlador DRAM de los teléfonos inteligentes y los servidores. unidades de estado sólido (SSD) y teléfonos inteligentes están impulsando la demanda de NAND. FPGAs los proveedores y se espera que los procesadores a saltar al 10nm / 7 nm.

Hay otros conductores también. Estamos en el comienzo de una transformación increíble, desde la traducción y el reconocimiento de voz hasta vehículos autónomos, para agregar el poder del aprendizaje automático y la inteligencia artificial a una gama de dispositivos y servicios ", dijo Sherman. "Tal cambio probablemente cambie nuestra economía en las próximas décadas y los impulsores de estos cambios serán la nueva plataforma informática y la adición de muchos productos, servicios y modelos comerciales existentes que impulsarán aún más la nueva generación de datos, Calcule y almacene los requisitos '.

Entonces, ¿dónde está el problema? Sherman dijo que siempre hay un alto nivel de impacto macroeconómico en el gasto en electrónica, pero hay algunas tendencias fuertes que nos permiten pensar más sobre la estabilidad y el aumento.

Otros están de acuerdo en que la tecnología de aprendizaje subyacente detrás de esto afectará el campo del diseño y la fabricación de semiconductores, así como afectará a todos los negocios en los próximos tres a 10 años. Una simulación precisa creará una gran cantidad de datos para entrenar una profundidad aprendizaje motor. Aunque los datos reales de la inspección de la fábrica y las imágenes de SEM como datos de entrenamiento, se pueden generar automáticamente una simulación de un gran número de variables de datos para servir como una plataforma de aprendizaje, Aki Fujimura D2S basado director ejecutivo, dijo.

Recesión del mercado de obleas, marcó el comienzo de la primavera

Una forma de capturar las tendencias del mercado es observar los dos segmentos clave de obleas de silicio y fotomáscaras.

Con los años, el mercado de oblea de silicio se ha visto afectada por el exceso de oferta, haciendo que los precios siguieron deteriorándose, pero la demanda ha aumentado en el año 2017, el mercado de oblea de silicio se está moviendo hacia el equilibrio, por lo que el precio ha mejorado.

Según los datos de SEMI, se proyecta que los envíos de obleas de silicio alcanzarán los 11.814 millones de pulgadas cuadradas en 2018, un aumento del 3,2% con respecto a 2017. Según SEMI, la tasa de crecimiento de 2017 es del 8,2%.

Figura 3: pronóstico de envíos de obleas

Según los datos de la SEMI, en 2016, Fotomáscaras ventas en el mercado de $ 3,32 mil millones, un incremento del 2% con respecto a 2015. En 2017 y 2018, se espera que el mercado de la máscara que crezca un 4% y 3%, respectivamente.

En los nodos avanzados, fotomáscaras son cada vez más complejos, difíciles de fabricar. Hay algunos desafíos, pero hoy en día el principal problema es el uso del sistema de haz de electrones de un solo haz tarda más en hacer una máscara. Por lo tanto, para la máscara compleja, la industria está empezando a adoptar un nuevo sistema de máscara de haces múltiples en la tienda.

Intel Corporation, una subsidiaria de IMS nanofabricación ha lanzado un escritor máscara de haces múltiples en el mercado. NuFlare competidores también venden sistemas similares.

D2S en Fujimura dijo ILT complejo si litografía patrón múltiple para 193i patrón (ILT), o es aproximadamente 30 nm máscara EUV tener sub-resolución ayudar características, aspectos enmascaran proceso en el borde delantero requerir multihaz grabado.

Asociada a la fabricación de máscaras de litografía. En la litografía, la pregunta más importante es si la tecnología de litografía EUV será puesto en producción en 2018. EUV para los fabricantes de chips miseria, 7 nm o 5 nm. En teoría, puede reducir estos EUV la complejidad del nodo. pero hoy, EUV no estaba listo. EUV se puede poner en uso depende de los términos de la fuente de energía EUV, tales como una máscara fotorresistente y está listo.

A pesar de muchos retos, Samsung espera utilizar EUV en 2018 nodo de proceso lógica 7 nm. En contraste, otros fabricantes de chips adoptarán una ruta más conservadora, a partir de la tradicional 193 nm inmersión múltiple exposición y 10 nm / 7 nm de cortar.

Fujimura dijo que para EUV, si su producción es comenzar en la segunda mitad de 2018, o en 2019, está claro que la industria de semiconductores está listo para usar en la producción de EUV. EUV inicialmente será desplegado en la exposición múltiple ha desplegado 193 nm campo. esto hará que el ecosistema más suave transición, en lugar de todos a la conversión de una vez en general.

Los fabricantes de chips pueden ser EUV insertar una o más capas en el corto plazo, pero la producción en masa real todavía necesitan un año o dos, litografía EUV y su ecosistema continuarán creciendo en 2018 y 2019, 2020 la situación no ha dejado de mejorar.

Sin embargo, EUV no dominará el paisaje. Cuando se inserta, EUV será utilizado principalmente para aplicaciones de corte de fundición y lógicas y a través del que representan aproximadamente el 20% de la exposición del mercado, el resto son todavía exposiciones múltiples.

Avance del tamaño de la moda: planeado migrar a 10nm / 7nm

Para los proveedores de equipos, el mercado de vanguardia / lógica del mercado es relativamente lento en los últimos años. En cada nodo, los fabricantes de chips requerirá una inversión sustancial en la investigación y el desarrollo y la inversión de capital. Además, en cada nodo, cada vez menos Los clientes de fundición pueden pagar los costos de I + D.

2018, GlobalFoundries, Intel, Samsung y TSMC espera 16nm / 14nm FinFET de la migración a 10 nm / FinFET 7 nm. Intel 10nm está aumentando, mientras que las fundiciones están listos. En resumen, la tecnología de 10nm de Intel es equivalente a otras fundiciones Nodo 7nm.

Figura 4: FinFET vs. planar

En cualquier caso, los fabricantes de chips se enfrentan a varios retos. Por ejemplo, 10 nm de Intel esperaba originalmente para entrar en producción en masa en la segunda mitad de 2017, pero debido a los problemas técnicos, la evolución en el tiempo de la demora para la primera mitad del analista bancario de 2018. La inversión en Morningstar Abhinav Davuluri dijo recientemente en una entrevista, Intel es una empresa de alta rentabilidad. de acuerdo con la situación de su programa de lanzamiento de productos y ver, tenían que (10nm) rechazado, gire a la producida por el final del año, mientras que en 2018 no es necesariamente plena fuerza.

Time nos dice si GlobalFoundries, Samsung y TSMC competirán a 7nm, según Samuel Wang, un analista de Gartner, que parece haber progresado mucho en las tres fundiciones.

Sin embargo, espera que para el 2018, el uso de 10 nm / 7 nm será aumentar gradualmente. Wang dijo que a partir de 2018 prevé unos ingresos de $ 2.5 mil millones en esta área se incrementará de $ 3 mil millones. Por el contrario, se espera que los ingresos de 10nm Llegará a 5 mil millones de dólares estadounidenses en 2017.

Sherman Applied Materials dice que conforme pasa el tiempo 10 nm / se espera que 7 nm a ser un nodo grande y larga, comparable con el nodo de 28nm, esta proporción está creciendo. 5 nm también la misma.

Área de memoria

En 2017, el mercado ha sido el principal impulsor de la memoria se espera que el equipo fab en 2018 seguirá un patrón similar. Sherman dijo que la enorme demanda de tecnología de memoria ha creado un récord de envíos de teléfonos inteligentes en la memoria DRAM y NAND continuó el crecimiento d. recientemente, el uso promedio NAND de los teléfonos inteligentes ha aumentado en un 50% a partir de 2016 sobre 24G a 38G ahora acerca. recientemente, uno de los principales proveedores de memorias anunció productos 512G para futuros teléfonos inteligentes Uso, buenas perspectivas.

Las SSD también están impulsando la demanda de NAND, y las partes interesadas dijeron: "El mercado de la memoria es saludable y la demanda NAND está creciendo entre un 40% y un 50%".

Sin embargo, los datos muestran TrendForce la investigación de mercado, se espera NAND a ser una desaceleración estacional en el primer trimestre de 2018, lo que lleva al exceso de oferta y los precios medios de venta. Sin embargo, no está claro por cuánto tiempo sobreoferta NAND.

Al mismo tiempo, en 2018, Intel, Micron, Samsung, Hynix, Toshiba y Western Digital seguirá aumentando 3D NAND. Por lo tanto, 3D NAND aparecerá otro gran período de gasto.

3D NAND fuerte crecimiento por una razón. Hoy NAND 2D ha alcanzado los límites físicos del nodo 1xnm. Por lo tanto, dentro de un período de tiempo, los proveedores NAND tienen que migrar de 2D a 3D NAND NAND.

3D NAND que se pensaba anteriormente difíciles de fabricar. A diferencia de la NAND 2D, que es una estructura 2D, similar a los rascacielos verticales 3D NAND, en donde se apilan capas horizontales y, a continuación, conectados por un pequeño pasaje vertical.

Figura 5: arquitectura NAND

Figura 6: arquitectura 3D NAND

Así de 2D a 3D en tiempo de conversión más de lo esperado. Se estima que Applied Materials, Inc., actualmente, NAND una capacidad instalada de 1,6 millones de obleas, pero en la actualidad sólo la mitad de la producción se puede convertir en 3D NAND.

Además de las conversiones, existen algunas preguntas sobre el tamaño de 3D NAND, donde los proveedores 3D NAND han pasado de 48 a 64 en 2017 y 96 en I + D. Veremos 96 en 2018 Equipo de capas. Se espera que la densidad se duplique cada año.

Sin embargo, el desarrollo de 96 capas de los dispositivos NAND es un desafío, y como resultado, la industria se está moviendo hacia una tecnología de fabricación conocida como apilamiento en serie, para la cual los proveedores desarrollarán y conectarán dos dispositivos 3D NAND de 48 capas. Forman un dispositivo 3D de 96 capas, por lo que tenemos dos capas de 3D NAND - 48 + 48 capas.

Con las pilas en serie, 3D NAND escala a 512 o más capas, pero el apilamiento en serie agrega más costos de fabricación y presenta un desafío difícil para la industria.

China fab popularidad disminuida

Mientras tanto, según SEMI, se espera que Corea del Sur supere a Taiwán como el mercado más grande para equipos de fab en 2017. Taiwán ocupará el segundo lugar, mientras que China ocupará el tercer lugar.

Según los datos del grupo industrial, en 2018, se espera que Corea del Sur mantenga su puesto número uno y China será el segundo país en número.

En China, SEMI dijo que hay 15 nuevos proyectos de fábricas en total, incluidas multinacionales y fabricantes nacionales de chips, que aún se encuentran en un estado desconocido debido a la inestabilidad en el mercado chino, pero está claro que China está trabajando arduamente para reducirlo. Su gran desequilibrio comercial en el campo de la IC sigue siendo importado por un gran número de chips de proveedores extranjeros.

Espera que el mercado chino crecerá de forma constante. KLA-Tencor ha visto en China la esperanza no hay escasez de pedidos importantes. KLA-Tencord de Donzella dijo, KLA-Tencor está a la vanguardia de la inversión, debido a la necesidad de detectar y herramientas de medición para cumplir con los requisitos técnicos de los equipos Applied Materials 'Sherman predice que para el año 2018, la inversión en equipos en las fábricas chinas crecerá en alrededor de $ 2 mil millones en 2017.

Al mismo tiempo, los dos últimos años, debido al aumento de la demanda de algunos chips, la industria IC experimentaron una grave escasez de 200mm capacidad fab. Esto a su vez impulsa la necesidad de 200mm equipo. El problema es que casi ningún equipo de 200 mm disponibles, por lo que los precios Relativamente alto.

'En términos de 200mm, 2018 será similar al de 2017.' En 2017, la utilización de 200 mm fab en o cerca del 100 por ciento. Creemos que 2018 y 2017 puede ser similar a la situación general, la utilización de obleas de 200 mm fab vamos a seguir siendo superior al 90%. según el comunicado oficial, el mercado está a sólo 500 tipos de 200mm herramientas disponibles, pero muchas de las herramientas en FABS de hoy están por debajo del estándar, por lo que continuamos como complemento a la escasez de 200mm equipos inminente.

2016 GoodChinaBrand | ICP: 12011751 | China Exports