Новости

«Горячие» цены на DRAM начнут снижаться в этом году, спрос на пластинчатые устройства будет расти

1.IC Insights: цены на DRAM в этом году начнут снижаться: 2.10 / 7nm, рынок памяти продолжает стимулировать рост спроса на вафли

Набор микро-сетки запуска микро-канала IC WeChat публичный номер: «Ежедневный IC», в режиме реального времени выпуск основных новостей, каждый день IC, каждый день набор микро-сети, микро-в!

1.IC Insights: цены на DRAM начнут снижаться в этом году;

Задайте новость микро-сетки, исследовательские институты IC Insights опубликовали последний отчет, в котором указывалось, что завод DRAM в четвертом квартале 2017 года достигнет рекордного нового пика, который оценивается в 21,1 миллиарда долларов США, более чем в 12,8 миллиарда долларов в четвертом квартале 2016 года Увеличение на 65%. Исследования IC Insights говорят, что, согласно историческому опыту, индустрия DRAM в ближайшем будущем может испытывать долгосрочную тенденцию к понижению в экономике по мере увеличения мощности DRAM, цена начнет снижаться в этом году, снижение еще больше страха 2 Годы

Оглядываясь назад на 2017 год, пользуясь спросом на центры обработки данных, значительно увеличивая количество серверных DRAM, в то время как смартфоны и другие продукты мобильных устройств, использующие маломощные DRAM с высокой плотностью, также синхронизируются с ростом цен на котировки DRAM до 2017 года вплоть до четвертого квартала. , Оценка IC Insights в четвертом квартале 2017 года. Объем продаж DRAM достигнет 21,1 млрд. Долларов США, увеличившись на 65%, достигнув рекордного уровня.

IC Insights прогнозирует, что рынок DRAM достигнет годовых темпов роста в 74% в 2017 году и прорыв в размере 31 миллиарда долларов США в 2017 году. На рынке DRAM существует пять основных факторов стимулирования: к ним относятся ограниченная емкость памяти поставщиков памяти, технологии ниже 20 нанометров Повышенная сложность, спрос на графическую DRAM, серверную DRAM и мобильную DRAM.

В качестве мобильного устройства для хранения мобильных устройств постоянно растет емкость смартфонов с памятью DRAM. Apple iPhone 8 имеет 2 ГБ DRAM, iPhone X имеет 3 ГБ DRAM, Samsung Galaxy S8 использует 4 ГБ DRAM, Huawei P10 Plus и HTC U11 оснащены 6 ГБ DRAM. Сингапурский смартфон One Plus 5, первый смартфон от Razer, известный в первую очередь для оборудования для видеоигр, также может похвастаться 8 ГБ DRAM.

Однако IC Insights предупреждает, что на основе исторических тенденций индустрия DRAM может столкнуться с долгосрочной тенденцией к снижению в ближайшем будущем, поскольку цены начнут снижаться по мере увеличения производственных мощностей и увеличения объема DRAM Страх будет 2 года.

Samsung и SK hynix объявили, что новые капитальные затраты на новое производство DRAM будут пробным производством во второй половине 2018 года, что может облегчить первую половину ралли DRAM 2018 года. Samsung Pyeongtaek South Korea ожидается в четвертом квартале 2018 года Производство DRAM, SK hynix также объявило о планах по созданию новой производственной линии в заводе в Уси, Китай.

IC Insights также сказал, что производитель DRAM Samsung и SK hynix будет иметь новую емкость из верхней части 2. И производитель № 3 Micron не может позволить себе сидеть сложа руки, особенно в высококонкурентной индустрии DRAM. Micron также может воссоздать новую пластину завод.

2.10 / 7nm, рынок памяти продолжает способствовать росту спроса на вафельное оборудование

В связи с огромным спросом на устройства 3D NAND и DRAM, Fab-производители объявили о начале цикла стрелы в 2017 году. Однако в устройствах с логикой / пластиной требования к устройству по-прежнему относительны в 2017 году Спрос на оборудование Tepid выглядит сильным в 2018 году, хотя промышленность вряд ли превысит рекорд, установленный к 2017 году. Фактически, согласно текущему прогнозу, рынок оборудования IC, как ожидается, остынет в 2018 году, а затем преобразуется в Более нормальный режим роста.

Согласно данным исследований СБИС, рынок полупроводниковых приборов, как ожидается, достигнет 70,4 млрд. Долл. США в 2017 году, что на 30,6% больше по сравнению с 53,9 млрд. Долл. США в 2016 году. В 2018 году ожидается, что рынок оборудования ИС достигнет 73,5 млрд. Долл. США, увеличившись на 4,4% по сравнению с 2017 годом ,

Рисунок 1: Рост рынка полупроводниковых приборов

Конечно, эти прогнозы могут быть изменены, потому что многие факторы могут повлиять на фабрику, такую ​​как экономические и политические факторы, которые играют важную роль на арене.

Поставщик Fab все еще очень оптимистичен. Артур Шерман (Arthur Sherman), вице-президент по маркетингу прикладных материалов и развитию бизнеса, сказал, что рынок WFE (вафельное оборудование), как ожидается, возрастет в 2018 году, поскольку спрос более устойчив. Согласно источникам, Уровни кремния в смартфонах и других мобильных устройствах также растут, поскольку поставщики добавляют больше возможностей, и появляются такие новые тенденции, как IoT, большие данные, искусственный интеллект и интеллектуальные автомобили, которые также С нетерпением ждем впечатляющих результатов на рынке.

Таким образом, будут проанализированы ключевые рынки, которые влияют на расходы на оборудование в 2018 году и за его пределами. Некоторые производители микросхем будут мигрировать с логических узлов с 16 до 14 нм на 10 нм / 7 нм в 2018 году, что может привести к литье / Спрос на устройства в логике взлетел ». 3D NAND станет основным драйвером устройств к 2018 году. По данным IC Insights, капитальные затраты в Samsung достигнут 14 миллионов долларов к 2017 году в 3D NAND. Капитальные затраты в 2017 году составили 26 миллиардов долларов США, в том числе 3D NAND, DRAM (7 миллиардов долларов США) и литейный завод (5 миллиардов долларов США) .4 Китай по-прежнему является очагом инвестиций в фабричное оборудование, а транснациональные корпорации и отечественные производители чипов Изготовление новых пластинчатых фабрик в Китае ⑤ Ожидается, что к 2018 году будет выпущена литография ультрафиолетового излучения (EUV), но традиционная многомодовая литография будет по-прежнему неотложной потребностью производителей оборудования в решении проблемы 2018, 200 мм Fab продолжает оставаться в дефиците.

Рынок IC хорош, спрос на

Согласно отчету World Trade Semiconductor Trade Statistics (WSTS), рынок IC достигнет 409 миллиардов долларов США в 2017 году, увеличившись на 20,6% по сравнению с 2016 годом. Согласно WSTS, промышленность IC достигнет 4 370 миллионов долларов США в 2018 году, увеличившись на 7% по сравнению с 2017 годом %.

От имени промышленности является относительно стабильного. По мнению аналитиков Себастьян H CL, в целом, промышленный сектор 2017 года, как ожидаются, вырастет на 7% в 2018 году, литейное дело, как ожидается, вырастет на 6-7%, а в области оборудования, нет прогноза Например, в конце 2016 года, есть много людей предсказали уверенность, вафельные ВСБ оборудование (ВТЭ) рынок вырастет с 33500000000 $ в 2017 году до $ 34 млрд, увеличившись примерно на 5% выше, чем в 2016 году из-за резкого увеличения расходов 3D NAND оборудование , WFE рынок превзошел ожидания, старший вице-президент по решениям глобальных клиентов KLA-Tencor и директор по маркетингу Оресте Donzella сказал, что цель до 2017 года ВТЭ составляет более $ 45 млрд, увеличившись на 20% до 25% по сравнению с аналогичным периодом прошлого года, прогноз описание Неопределенность.

Этот импульс будет продолжаться и в 2018 году, это? До сих пор, по-видимому, будет относительно стабильным, поставщики осторожный оптимизм. Donzella ожидает, что в 2018 году ВТЭ, чем 2017 однозначных процент роста.

В другом предсказании, SEMI ожидает, 2017 продажи оборудования от 55,9 $ млрд, увеличившись на 35,6% по сравнению с 2016 г. SEMI, сказал в 2018 году, рынок оборудования достигнет 60,1 млрд $, увеличившись на 7,5% по сравнению с 2016.

Рисунок 2: Прогноз годового оборудования

В трех основных факторов роста ФАБ инструмент поставщиков DRAM, NAND и литейный / логика, WFE спрос, как представляется, быть надежным. Donzella сказал рост выручки, особенно на рынке памяти (DRAM и 3D NAND) является очень сильным, он, как ожидается, в следующем году WFE будет значительно увеличен. драйвер DRAM смарт-телефонов и серверов. твердотельные накопители (SSD) и смартфоны стимулирует спрос на NAND. поставщика ПЛИС и процессоров, как ожидается скачок в 10 нм / 7 Нм.

Есть другие водители. «Мы находимся в самом начале расчета невероятного преобразования, от перевода и распознавания голоса для автономных транспортных средств, добавив, машинное обучение и искусственный интеллект в спектр оборудования и услуг», Шерман сказал, «этот сдвиг может изменить нашу экономику в ближайшие десятилетия. эти изменения обеспечивают мощность будет новая вычислительная платформа и дополняют многие существующие продукты, услуги и бизнес-модели. это будет способствовать дальнейшему укреплению нового поколения данных, вычислительные и хранения требования ».

Таким образом, вопрос, в конце концов появился в где? Шерман сказал, что всегда есть высокий уровень расходов будет влиять на макроэкономические последствия электронных продуктов, но теперь есть некоторые сильные тенденции Рассмотрим более стабильным и продолжает расти.

Другие не согласны. Обучение Depth технология за это будет влиять на дизайн и производство полупроводников, как это будет влиять на каждый из следующих трех до десяти лет, как бизнес. Точная имитация будет создавать большое количество данных для подготовки глубины обучения двигателя. Хотя фактические данные фабричной инспекции и SEM изображений в качестве обучающих данных, он может автоматически генерировать имитацию большого числа переменных данных, чтобы служить в качестве платформы обучения, Aki Fujimura D2S на основе главного исполнительного директора, сказал он.

После того как рынок пластины остается в депрессивном состоянии и вступить весной

Один из способов состоит в наблюдении за тенденциями рынка кремниевых пластин и фотошаблонов этих двух ключевых сегментов рынка.

На протяжении многих лет на рынке кремниевой пластины был страдает от избыточного предложения, в результате чего цены продолжили падение, но спрос увеличился в 2017 году, кремниевая пластина рынок движется к равновесию, поэтому цена улучшилась.

По данным SEMI, в 2018 кремниевой пластине поставки достигнут 11,814 миллиона квадратных дюймов, на 3,2 процента по сравнению с 2017 г., по данным SEMI говорят +2017 темпов роста на 8,2%.

Рисунок 3: Прогнозирование вафельные поставки

По данным SEMI, в 2016 году, фотошаблонов рынка продаж 3,32 $ млрд, увеличившись на 2% по сравнению с 2015 г. В 2017 и 2018 годах, на рынке маска, как ожидается, вырастет на 4% и 3%, соответственно.

На продвинутых узлах фотомаски становятся все более сложными и сложными в изготовлении. Существует несколько проблем, но главная проблема заключается в том, что использование однолучевой электронно-лучевой системы занимает больше времени, чтобы сделать маску. Поэтому, Для сложных масок индустрия начинает принимать новую многолучевую систему в магазине маски.

IMS Nanofabrication, дочерняя компания Intel Corp., выпустила на рынок многолучевую маску, в то время как конкурент NuFlare также продает аналогичную систему.

Fujimura от D2S заявила, что, будь то мульти-паттернирующий комплекс ILT (обратная литография) для литографии 193i или маска EUV, которая должна иметь субфункции субрецепции 30 нм, Нужна многолучевая гравировка.

Изготовление масок связано с литографией, и самая большая проблема в литографии заключается в том, будет ли литография EUV введена в эксплуатацию к 2018 году. Производители чипов хотят, чтобы EUVs составляли 7 нм или 5 нм. Теоретически, EUVs могут уменьшить эти Однако сегодняшний EUV еще не готов к использованию, а доступность EUV зависит от того, готовы ли источники питания, фоторезисты и маски EUV.

Несмотря на множество проблем, Samsung надеется использовать EUV на логическом узле 7nm логического процесса в 2018 году. Напротив, другие производители микросхем будут придерживаться более консервативного маршрута, начиная с традиционного погружения 193 нм и многократного воздействия при 10 нм / 7 нм Вырезать.

Fujimura заявила, что ясно, что EUV начнет использовать EUV в производстве независимо от того, войдет ли он в производство во второй половине 2018 года или в 2019 году. EUV первоначально будет развернут в районах, где 193nm Multiple Exposure Это позволит экосистеме перейти более плавно, а не внезапно.

Производители чипов могут вставлять EUV в один или несколько слоев за короткий промежуток времени, но фактическое массовое производство все равно займет год или два. Литография EUV и ее экосистемы будут продолжать развиваться в период с 2018 по 2019 год, 2020 год Ситуация также продолжает видеть хорошее.

Тем не менее, СУФ не будет доминировать в ландшафте. При вставке, СУФ будет в основном используются для резки литейных и логических приложений и через которые составляют приблизительно 20% от воздействия на рынке, остальные еще несколько экспозиций.

Прорыв размера Fad: планируется перейти на 10 нм / 7 нм

Для поставщиков оборудования, передовые рынок / логика рынка относительно вялой в последние годы. На каждом узле, производители микросхем потребует значительных инвестиций в научные исследования и разработки и капитальных вложений. Кроме того, на каждом узле, все меньше и меньше Клиенты литейного производства могут позволить себе затраты на НИОКР.

2018, GlobalFoundries, Intel, Samsung и TSMC рассчитывает 16nm / 14nm FinFET миграции на 10 нм / 7nm FinFET. Intel растет 10 нм, в то время как литейные готовы. Короче говоря, технология Intel, 10нм эквивалентно других литейных 7nm.

Рисунок 4: FinFET против планарного

В любом случае, производители микросхем сталкиваются с целым рядом проблем. Например, 10nm Intel первоначально предполагалось ввести серийное производство во второй половине 2017 года, но из-за технических проблем, хода времени задержки в первой половине 2018. инвестиционного банковского аналитика Morningstar Abhinav Davuluri недавно сказал в интервью, Intel является высокой прибылью компании. в зависимости от ситуации от их продукции график запуска и увидеть, что они должны были (10нм) отклонил, поворот производится в конце года, в то время как в 2018 не обязательно полная сила.

Время покажет, является ли GlobalFoundries, Samsung и TSMC будут 7нм конкуренции. По Самуэль Вану Gartner (Gartner) аналитик, кажется, что три литейных добились хорошего прогресса.

Тем не менее, ожидается, что уровень усыновления в 10 нм / 7 нм будет постепенно увеличиваться к 2018 году. Ван сказал, что доход в этой области увеличится с 2,5 млрд. Долларов США до 3 млрд. Долларов США к 2018 году. Напротив, 10-миллионный прогноз доходов Он достигнет 5 миллиардов долларов США в 2017 году.

Согласно Шерману, материал приложения, 10 нм / 7 нм, как ожидается, станет большим, длинным узлом с течением времени, часть узла 28 нм и 5 нм - то же самое.

Область памяти

Рынок памяти был основным драйвером для фабричных устройств в 2017 году. Аналогичные модели, как ожидается, последуют в 2018 году. Шерман сказал, что огромный спрос на технологии памяти привел к самым высоким объемам продаж в истории памяти DRAM и NAND в смартфонах в прошлом г. В последнее время среднее использование смартфонов увеличилось примерно на 50% в среднем с примерно 24G в 2016 году до примерно 38G сегодня. Один крупный поставщик памяти недавно объявил о продуктах 512G для будущих смартфонов Используйте, хорошие перспективы.

SSD также стимулируют спрос на NAND, и заинтересованные стороны заявили: «Рынок памяти здоров, а спрос NAND растет от 40% до 50%».

Однако данные из исследовательской фирмы TrendForce показывают, что NAND, как ожидается, увидит сезонный спад в первом квартале 2018 года, что приведет к избыточному спросу и снижению средних отпускных цен, хотя неясно, как долго будет продолжаться избыток NAND.

В то же время Intel, Micron, Samsung, SK Hynix, Toshiba и Western Digital будут продолжать добавлять 3D-NAND в 2018 году, поэтому 3D NAND увидит еще один огромный цикл выплат.

Причиной сильного роста 3D-NAND является то, что сегодняшняя 2D-NAND достигла физических пределов узла 1xnm, поэтому в течение некоторого времени разработчикам NAND необходимо перейти от 2D NAND к 3D NAND.

3D NAND сложнее изготовить, чем считалось ранее, в отличие от 2D NAND, которая представляет собой 2D-структуру, которая напоминает вертикальный небоскреб, в котором горизонтальные слои уложены друг на друга, а затем соединены через крошечные вертикальные каналы.

Рисунок 5: Архитектура NAND

Рисунок 6: Архитектура 3D NAND

Таким образом, время преобразования с 2D на 3D больше, чем ожидалось. Согласно оценкам Applied Materials, в настоящее время NAND имеет 1,6 миллиона пластин, и в настоящее время только половина мощности преобразуется в 3D NAND.

В дополнение к конверсиям есть некоторые вопросы относительно размера 3D-NAND, где производители 3D-NAND переместились с 48 до 64 в 2017 году и 96 в R & D. В 2018 году мы увидим 96 Плотность, как ожидается, удваивается каждый год.

Тем не менее, 96-слойная разработка NAND-устройств является сложной задачей, и в результате индустрия продвигается к технологии производства, известной как последовательная сборка, для которой производители будут разрабатывать и подключать два 48-слойных 3D-NAND-устройства Они образуют 96-слойное 3D-устройство, поэтому у нас есть два слоя 3D-NAND-48 + 48 слоев.

С последовательными стеками 3D NAND масштабируется до 512 или более уровней, но последовательная стекизация увеличивает затраты на производство и представляет собой сложную задачу для отрасли.

Популярность популярности в Китае уменьшилась

Между тем, по данным SEMI, Южная Корея, как ожидается, обогнает Тайвань как крупнейший рынок фасонного оборудования в 2017 году. Тайвань займет второе место, а Китай займет третье место.

По данным отраслевой группы, в 2018 году Южная Корея будет поддерживать свое первое место, а Китай будет вторым по численности.

В Китае SEMI заявила, что в общей сложности 15 новых фабричных проектов, включая транснациональные корпорации и отечественные производители чипов, которые все еще находятся в неизвестном состоянии из-за нестабильности на китайском рынке, но ясно, что Китай прилагает все усилия для сокращения Его огромный торговый дисбаланс в области ИС продолжает импортироваться большим количеством чипов от иностранных поставщиков.

KLA-Tencor заявляет, что KLA-Tencord's Tenzor находится на переднем крае инвестиций из-за необходимости тестирования и измерения инструментов для удовлетворения требований технологического оборудования «Прикладные материалы» Шерман прогнозирует, что к 2018 году инвестиции в оборудование китайских фабрик вырастут примерно на 2 миллиарда долларов в течение 2017 года.

В то же время в течение последних двух лет в отрасли ИС наблюдался серьезный дефицит 200-миллиметровой производительности из-за резкого роста спроса на некоторые чипы, что, в свою очередь, вызвало спрос на 200-миллиметровые устройства, и проблема в том, что доступно мало 200-миллиметровых устройств и, следовательно, цена Относительно высокий.

«В 200 мм 2018 год будет аналогичным 2017 году.« Использование Fab на 200 мм достигло или приближается к 100% в 2017 году. Мы считаем, что общая ситуация в 2018 году может быть аналогичной ситуации в 2017 году, а использование 200-мм фабрики Будет продолжаться дольше 90%. По мнению заинтересованных сторон, только 500 доступных инструментов на 200 мм на рынке, и многие из инструментов на сегодняшних фабриках не выполняются, поэтому продолжать дополнить нехватку 200-миллиметрового оборудования неизбежно.

2016 GoodChinaBrand | ICP: 12011751 | China Exports