Os preços de DRAM "quentes" começarão a diminuir este ano, a demanda por dispositivos de bolacha crescerá

1.IC Insights: os preços de DRAM começarão a diminuir este ano, 2.10 / 7nm, o mercado de memória continua a impulsionar o crescimento da demanda de equipamentos de bolacha

Estabeleça micro-grade de micro-canal IC WeChat número público: 'Daily IC', lançamento em tempo real das principais notícias, todos os dias IC, microfone todos os dias, micro-micro!

1.IC Insights: os preços de DRAM começarão a diminuir este ano;

Definir as notícias de micro-malhas, os institutos de pesquisa que o IC Insights divulgou no último relatório apontaram que a fábrica de DRAM no quarto trimestre de 2017 atingirá um novo pico histórico, estimado em 21,1 bilhões de dólares, mais de 12,8 bilhões no quarto trimestre de 2016 Um aumento de 65%. A IC Insights disse que, de acordo com a experiência histórica, a indústria de DRAM no futuro próximo poderá sofrer uma tendência de queda a longo prazo na economia à medida que a capacidade de DRAM aumentar, o preço começará a diminuir este ano, o declínio ainda mais medo 2 Anos de idade

Olhando para o ano de 2017, beneficiando da demanda por centros de dados, gerando um aumento significativo no DRAM do servidor, enquanto os telefones inteligentes e outros produtos de dispositivos móveis que usam DRAM de alta densidade de baixa potência também são crescimento sincronizado em cotações de preços de DRAM em 2017 até o quarto trimestre ainda é forte , As estimativas da IC Insights, as vendas de DRAM no quarto trimestre de 2017 chegarão a 21,1 bilhões de dólares, um aumento de 65%, estabelecendo um recorde.

A IC Insights prevê que o mercado de DRAM atingirá uma taxa de crescimento anual de 74% em 2017 e um avanço de 31 bilhões de dólares dos EUA em 2017. Existem cinco novos fatores de estímulo no mercado de DRAM, que incluem a capacidade de memória limitada dos fornecedores de memória, as tecnologias abaixo de 20 nanômetros Maior dificuldade, demanda de DRAM de gráficos, DRAM do servidor e DRAM móvel.

A Apple iPhone 8 possui 2 GB de DRAM, o iPhone X possui 3 GB de DRAM, o Samsung Galaxy S8 usa DRAG de 4 GB, o Huawei P10 Plus e o HTC U11 estão equipados com 6 GB de armazenamento de dispositivos móveis, como exemplo, a capacidade de telefones celulares que carregam a memória DRAM está aumentando continuamente. DRAM. O smartphone One Plus 5, baseado em Singapura, o primeiro telefone inteligente da Razer, conhecido principalmente por equipamentos de videogames, também possui 8 GB de DRAM.

No entanto, a IC Insights avisa que, com base nas tendências históricas, o setor de DRAM pode sofrer uma tendência de queda a longo prazo no futuro próximo, pois os preços começarão a diminuir à medida que a capacidade de produção aumentar e aumentar a produção de DRAM O medo será de 2 anos.

Samsung e SK hynix anunciaram que as novas despesas de capital para a nova produção de DRAM serão a produção experimental no segundo semestre de 2018, o que pode aliviar o primeiro semestre do rali DRAM 2018. Samsung Pyeongtaek, Coréia do Sul, está prevista para o quarto trimestre de 2018 Produção DRAM, SK hynix também anunciou planos para construir uma nova linha de produção na fábrica de Wuxi, China.

A IC Insights também disse que a fabricante de DRAM, a Samsung e a SK hynix, terão uma nova capacidade fora do top 2. E o fabricante n. ° 3 da Micron não pode se dar ao luxo de ficar de braços cruzados, especialmente na indústria altamente competitiva de DRAM. A Micron também pode reinstalar uma nova bolacha Fábrica.

2.10 / 7nm, o mercado de memória continua a promover o crescimento da demanda de equipamentos de bolacha

Com a enorme demanda por dispositivos 3D NAND e DRAM, os fabricantes fabulosos inauguraram um ciclo boom em 2017. No entanto, em dispositivos lógicos / wafer, os requisitos do dispositivo ainda são relativos em 2017 A demanda de equipamentos tepidos parece forte em 2018, embora a indústria dificilmente ultrapasse o recorde estabelecido até 2017. De fato, de acordo com a previsão atual, o mercado de equipamentos IC deverá arrefecer em 2018 e, em seguida, convertido para Modo de crescimento mais normal.

De acordo com os dados de pesquisa da VLSI, espera-se que o mercado de dispositivos semicondutores atinja US $ 70,4 bilhões em 2017, um aumento de 30,6% em relação a US $ 53,9 bilhões em 2016. Em 2018, o mercado de equipamentos IC deverá atingir US $ 73,5 bilhões, um aumento de 4,4% em relação a 2017 .

Figura 1: Crescimento do mercado de equipamentos semicondutores

É claro que essas previsões estão sujeitas a mudanças porque muitos fatores podem afetar a indústria fabulosa, como fatores econômicos e políticos, que desempenham um papel importante na arena.

O fornecedor fabuloso ainda é muito otimista. Arthur Sherman, vice-presidente de Marketing e Desenvolvimento de Mercadorias Aplicadas, disse que o mercado WFE (wafer fab equipment) deverá aumentar em 2018, já que a demanda é mais robusta. De acordo com fontes, Os níveis de silício em smartphones e outros dispositivos móveis também estão aumentando à medida que os fornecedores adicionam mais capacidades e existem tendências emergentes como IOT, dados importantes, inteligência artificial e carros inteligentes que também Estamos ansiosos para o fabuloso desempenho do mercado.

Ao fazê-lo, os mercados-chave que afetam as despesas de equipamentos em 2018 e além são analisados.1 Alguns fabricantes de chips irão migrar dos nós lógicos de 16nm / 14nm para 10nm / 7nm em 2018, um movimento que poderia levar a lançar / Demanda de dispositivos na lógica disparou. "3D NAND se tornará um dos principais drivers de dispositivos até 2018. De acordo com a IC Insights, os gastos de capital na Samsung alcançarão US $ 14 bilhões surpreendentes até 2017 na 3D NAND. As despesas de capital em 2017 totalizaram 26 bilhões de dólares dos EUA, incluindo 3D NAND, DRAM (7 bilhões de dólares dos EUA) e fundição (5 bilhões de dólares dos EUA) .4 A China ainda é um viveiro de investimentos em equipamentos fabulosos e multinacionais e planos de chips domésticos Fabricação de fabricação de bolachas novas na China ⑤ Espera-se que a litografia ultravioleta extrema (EUV) seja produzida até 2018, mas a litografia multimodo convencional continuará sendo uma necessidade urgente de os fabricantes de equipamentos se dirigirem ⑥ 2018, 200mm O fab fabrico continua a ser escasso.

O mercado de IC é bom, a demanda por um excelente negócio

De acordo com o relatório do World Semiconductor Trade Statistics (WSTS), o mercado IC chegará a 409 bilhões de dólares dos EUA em 2017, um aumento de 20,6% em relação a 2016. De acordo com o WSTS, o setor de IC atingirá 4.370 bilhões de dólares dos EUA em 2018, um aumento de 7% em relação a 2017 %.

Sobre uma base relativamente estável, o analista da CLSA, Sebastian Hou, disse que a indústria global de OEM deverá crescer 7% em 2017. Os pré-fabricados prevêem crescimento de 6% para 7% até 2018. No entanto, na área de equipamentos, a previsão não é Por exemplo, no final de 2016, muitos previram que o mercado de fab fab fabricação (WFE) crescerá de US $ 33,5 bilhões para US $ 34 bilhões em 2017, um aumento de cerca de 5% em relação a 2016. Como os gastos com dispositivos NAND 3D dispararam O mercado de WFE excedeu as expectativas ". Oreste Donzella, vice-presidente sênior e diretor de marketing da Global Customer Solutions, KLA-Tencor, disse que o objetivo anterior da WFE em 2017 foi de mais de US $ 45 bilhões, um aumento de 20% para 25% em relação ao mesmo período do ano passado, A incerteza.

Este impulso continuará até 2018? Até o momento, parece relativamente estável e os fornecedores são cautelosamente otimistas. Donzella disse que a previsão de WFE para 2018 aumentará tanto quanto uma porcentagem de um dígito de 2017.

Em outra previsão, a SEMI espera que as vendas de equipamentos atinjam 55,9 bilhões de dólares dos EUA em 2017, um aumento de 35,6% em relação a 2016. A SEMI disse que o mercado de dispositivos atingirá 60,1 bilhões de dólares dos EUA em 2018, um aumento de 7,5% em relação a 2016.

Figura 2: Previsão do equipamento de fim de ano

As necessidades do WFE parecem ser confiáveis ​​entre os três principais drivers de crescimento para fabricantes de ferramentas fabulosas DRAM, NAND e fundição / lógica. Donzella disse que o crescimento da receita, especialmente nos mercados de memória (DRAM e 3D NAND), é muito forte e espera-se no próximo ano O WFE crescerá dramaticamente Drivers de DRAM para smartphones e servidores As unidades de estado sólido (ssd) e os smartphones estão gerando demanda por NAND, enquanto os FPGAs e processadores devem saltar para 10nm / 7nm.

Existem outros drivers também. "Estamos no começo de uma transformação incrível, desde a tradução e ao reconhecimento de fala até veículos autônomos, para adicionar o poder da aprendizagem de máquinas e da inteligência artificial a uma variedade de dispositivos e serviços", disse Sherman. "Essa mudança provavelmente mudará nossa economia nas próximas décadas e os drivers para essas mudanças serão a nova plataforma de computação e a adição de muitos produtos, serviços e modelos de negócios existentes que irão impulsionar a geração de novos dados, Calcular e armazenar requisitos. '

Então, onde está o problema? Sherman disse que há sempre um alto impacto macroeconômico na despesa em eletrônicos, mas existem algumas tendências fortes que nos permitem pensar mais sobre estabilidade e aumento.

Outros concordam que a tecnologia de aprendizagem subjacente por trás disso afetará o campo do design e fabricação de semicondutores, assim como afetará todos os negócios nos próximos três a 10 anos. Uma simulação precisa criará uma riqueza de dados para treinar uma profundidade Mecanismo de aprendizagem. Enquanto os dados reais da inspeção de fábrica e imagens de SEM serão usados ​​como dados de treinamento, ele é baseado em simulação que pode gerar automaticamente uma grande quantidade de dados com várias variáveis ​​para atender a plataforma de aprendizado, disse Aki Fujimura, CEO da D2S.

Wafer market downturn, inaugurou a primavera

Uma maneira de capturar as tendências do mercado é olhar para os dois segmentos-chave de wafers e fotomasks de silício.

Ao longo dos anos, o mercado de silício tem sido atormentado por excesso de oferta, resultando em recessão contínua, mas a demanda em 2017 aumentou, o mercado de bolacha de silício está se movendo em direção ao equilíbrio, de modo que o preço aumentou.

De acordo com a SEMI, os embarques de bolacha de silício deverão chegar a 11,814 milhões de pés quadrados em 2018, um aumento de 3,2% em relação a 2017. Segundo a SEMI, a taxa de crescimento de 2017 é de 8,2%.

Figura 3: previsão de embarques de bolacha

De acordo com a SEMI, o mercado de fotomassas registrou vendas de US $ 3,32 bilhões em 2016, um aumento de 2% em relação a 2015. Em 2017 e 2018, o mercado de máscaras deverá crescer 4% e 3%, respectivamente.

Em nós avançados, as fotomáquias tornam-se cada vez mais complexas e difíceis de fabricar. Existem vários desafios, mas o principal problema é que o uso do sistema de feixe de elétrons de feixe único de hoje leva mais tempo para criar uma máscara. Portanto, Para máscaras complexas, a indústria está começando a adotar um novo sistema multi-feixe na loja de máscaras.

A IMS Nanofabrication, subsidiária da Intel Corp., lançou escritores de máscaras multi-feixes no mercado, enquanto a concorrente NuFlare também está vendendo um sistema similar.

A Fujimura da D2S disse que se é um padrão complexo multi-padrão de ILT (litografia reversa) para a litografia 193i ou uma máscara EUV que está prestes a ter sub-características de 30nm de sub-resolução, Precisa de vários feixes gravados.

A fabricação de máscaras está associada à litografia e a maior questão na litografia é se a litografia EUV será posta em produção até 2018. Os fabricantes de chips querem EUVs por 7nm ou 5nm. Teoricamente, as EUVs podem reduzir estas No entanto, o EUV de hoje ainda não está pronto para uso, e a disponibilidade de EUV depende se os suprimentos de energia, fotorresistências e máscaras da EUV estão prontos.

Apesar de muitos desafios, a Samsung espera usar EUVs no nó do processo lógico de 7nm em 2018. Em contraste, outros fabricantes de chips adotarão uma rota mais conservadora, começando com a tradicional imersão de 193nm e exposição múltipla a 10nm / 7nm Corte em.

Fujimura disse que é claro que o EUV começará a usar o EUV na produção, não importa que ele entre em produção no segundo semestre de 2018 ou em 2019. O EUV será inicialmente implantado em áreas onde 193nm Exposição Múltipla Isso permitirá que o ecossistema se transite mais suavemente, e não de repente.

Os fabricantes de chips podem inserir EUVs em uma ou mais camadas em um curto período de tempo, mas a produção em massa real ainda levará um ano ou dois. A litografia EUV e seus ecossistemas continuarão a se desenvolver entre 2018 e 2019, 2020 A situação também continua a ver o bem.

No entanto, a EUV não dominará toda a paisagem, e no momento da inserção, a EUV será usada principalmente para corte e vias em aplicações de fundição e lógica, representando cerca de 20% do mercado de exposição total, sendo o restante uma exposição múltipla.

Avanço do tamanho da moda: planejado para migrar para 10nm / 7nm

Para os fornecedores de dispositivos, o mercado líder de mercado / lógica tem sido relativamente lento nos últimos anos, com fabricantes de chips que requerem P & D e investimento de capital significativos em cada nó, e cada vez menos por nó Os clientes de fundição podem pagar custos de P & D.

A GlobalFoundries, a Intel, a Samsung e a TSMC devem migrar de FinFETs de 16 nm / 14 nm para finFETs de 10 nm / 7-nm até 2018. A Intel está adicionando 10 nm e as fundições estão preparando-as. Em suma, a tecnologia 10nm da Intel é equivalente a outras fundições Nó 7nm.

Figura 4: FinFET vs. planar

Em qualquer caso, os fabricantes de chips enfrentam alguns desafios, como a Intel originalmente esperava entrar em produção em massa de 10nm no segundo semestre de 2017, mas devido a desafios técnicos, esse período de tempo desacelerou até o primeiro semestre de 2018. Abhinav, analista do banco de investimentos Morningstar Em uma entrevista recente, Davuluri disse que a Intel é uma empresa rentável e, de acordo com o que viram do lançamento e do cronograma de seus produtos, eles tiveram que afastar (10nm) para a produção até o final do ano, enquanto em 2018 não é necessariamente força total.

O tempo nos diz se a GlobalFoundries, a Samsung e a TSMC competirão a 7nm, de acordo com Samuel Wang, um analista do Gartner, que parece ter feito um bom progresso em todas as três fundições.

No entanto, espera-se que a taxa de adoção de 10nm / 7nm aumentará gradualmente até 2018. Wang disse que a receita nesta área aumentará de 2,5 bilhões de dólares dos EUA para 3 bilhões de dólares dos EUA até 2018. Em contraste, a receita de receita de 10 milhões Alcançará US $ 5 bilhões em 2017.

De acordo com Sherman, Applied Materials, espera-se que 10 nm / 7 nm se tornem um nó grande e longo ao longo do tempo, uma fração do nó de 28 nm e 5 nm é o mesmo.

Área de memória

O mercado de memória tem sido um dos principais drivers para os dispositivos fabulosos em 2017. Espera-se que os modelos similares se vejam em 2018. Sherman disse que a enorme demanda por tecnologia de memória resultou nos maiores envios da história DRAM e memória NAND em smartphones na última d. Recentemente, o uso médio do telefone inteligente aumentou cerca de 50%, em média, de cerca de 24G em 2016 para cerca de 38G. Um grande fornecedor de memória anunciou recentemente produtos 512G para futuros smartphones Uso, boas perspectivas.

Os SSD também estão impulsionando a demanda por NAND, e as partes interessadas disseram: "O mercado de memória é saudável e a demanda NAND está crescendo entre 40% e 50%".

No entanto, os dados da empresa de pesquisa de mercado TrendForce mostram que o NAND espera ver uma desaceleração sazonal no primeiro trimestre de 2018, resultando em excesso de oferta e queda dos preços médios de venda, embora não seja claro quanto tempo o excedente NAND durará.

Entretanto, Intel, Micron, Samsung, SK Hynix, Toshiba e Western Digital continuarão a adicionar 3D NAND em 2018, então a 3D NAND verá outro enorme ciclo de pagamento.

A razão para o forte crescimento da NAND 3D é que a NAND 2D de hoje atingiu os limites físicos de um nó 1xnm, então, por algum tempo, é necessário que os fornecedores da NAND migrem da NAND 2D para a NAND 3D.

3D NAND é mais difícil de fabricar do que se pensava anteriormente, ao contrário de 2D NAND, que é uma estrutura 2D que se assemelha a um arranha-céu vertical em que as camadas horizontais são empilhadas e, em seguida, conectadas através de pequenos canais verticais.

Figura 5: arquitetura NAND

Figura 6: arquitetura 3D NAND

Portanto, o tempo de conversão de 2D para 3D é mais longo do que o esperado. De acordo com estimativas de Materiais Aplicados, atualmente, a NAND possui 1,6 milhão de wafers instaladas e, atualmente, apenas metade da capacidade é convertida em 3D NAND.

Além das conversões, existem algumas dúvidas sobre o tamanho da 3D NAND, onde os vendedores 3D NAND passaram de 48 para 64 em 2017 e 96 em R & D. Veremos 96 em 2018 Equipamento de camada. A densidade deverá duplicar todos os anos.

No entanto, o desenvolvimento de dispositivos NAND de 96 camadas é desafiador e, como resultado, a indústria está se movendo em direção a uma tecnologia de fabricação conhecida como empilhamento serial, para o qual os fornecedores desenvolverão e conectariam dois dispositivos NAND 3D de 48 camadas Eles formam um dispositivo 3D de 96 camadas, então temos duas camadas de 3D NAND - 48 + 48 camadas.

Com pilhas em série, o 3D NAND escala para 512 ou mais camadas, mas o empilhamento em série adiciona mais custos de fabricação e apresenta um desafio difícil para a indústria.

China, popularidade fabulosa diminuiu

Enquanto isso, de acordo com a SEMI, espera-se que a Coréia do Sul ultrapasse Taiwan como o maior mercado de equipamentos fabulosos em 2017. Taiwan ocupará o segundo lugar, enquanto a China ocupará o terceiro lugar.

De acordo com dados do grupo da indústria, em 2018, espera-se que a Coréia do Sul mantenha seu primeiro lugar e a China será o segundo em número.

SEMI disse que existem 15 novos projetos fabulosos na China, incluindo multinacionais e fabricantes de chips domésticos, que ainda estão em um estado desconhecido devido à instabilidade na China, mas é claro que a China está trabalhando duro para reduzir Seu enorme desequilíbrio comercial no campo da IC continua sendo importado por um grande número de chips de fornecedores estrangeiros.

KLA-Tencor já está vendo esperança na China com muitas ordens importantes. Donzella, do KLA-Tencord, disse que o KLA-Tencor está na vanguarda do investimento devido à necessidade de testar e medir ferramentas para atender aos requisitos do equipamento de processo Sherman, de Applied Materials, prevê que até 2018, o investimento de equipamentos em fábricas chinesas crescerá em cerca de US $ 2 bilhões em relação a 2017.

Enquanto isso, nos últimos dois anos, a indústria IC experimentou uma grave falta de capacidade de fabricação de 200 mm devido a uma demanda crescente de chips, o que, por sua vez, impulsionou a demanda de dispositivos de 200 mm, com o problema de que poucos dispositivos de 200 mm estão disponíveis e, portanto, o preço Relativamente alto.

"À 200mm, 2018 será semelhante ao 2017." A utilização de Fab em 200mm atingiu ou aproxima-se de 100% em 2017. Pensamos que a situação geral em 2018 pode ser semelhante à de 2017 e a utilização fabulosa de 200mm Continuará a ser mantido em mais de 90%. De acordo com as partes interessadas, apenas 500 ferramentas disponíveis de 200 mm no mercado, e muitas ferramentas não são atendidas nas fábricas de hoje, então continuar a complementar a escassez de equipamentos de 200 mm é iminente.

2016 GoodChinaBrand | ICP: 12011751 | China Exports