'Hot' DRAM prices will start to decline this year; demand for wafer devices will grow

1.IC Insights: DRAM prices will begin to decline this year; 2.10 / 7nm, the memory market continues to drive the growth of wafer equipment demand

Set micro-grid launch micro-channel IC WeChat public number: 'Daily IC', real-time release of major news, every day IC, every day micro-grid, micro-micro!

1.IC Insights: DRAM prices will start to decline this year;

Set the micro-mesh news, research institutes IC Insights released the latest report pointed out that the DRAM factory in the fourth quarter of 2017 sales amount will hit a historic new peak, estimated at 21.1 billion US dollars, more than 12.8 billion in the fourth quarter of 2016 large An increase of 65%. IC Insights said that according to historical experience, the DRAM industry in the near future may experience a long-term downward trend in the economy as DRAM capacity increases, the price will start to decline this year, the decline even more fear 2 Years old

Looking back at 2017, thanks to the demand for data centers, the server DRAM was significantly warmer. Meanwhile, smart phones and other mobile device products are simultaneously growing with low-power and high-density DRAM. The DRAM price quotation in 2017 all the way up. By the fourth quarter, , IC Insights estimates fourth quarter 2017 DRAM sales will reach 21.1 billion US dollars, an increase of 65%, setting a record high.

IC Insights predicts that the DRAM market will reach an annual growth rate of 74% in 2017 and a breakthrough of 31 billion U.S. dollars in 2017. There are five major new stimulus factors in the DRAM market. These include the limited memory capacity of memory suppliers, the technologies below 20 nanometers Increased difficulty, demand for graphics DRAM, server DRAM and mobile DRAM.

Taking mobile storage as an example, the capacity of smart phones carrying DRAM memory is continuously increasing. Apple iPhone 8 has 2GB DRAM, iPhone X has 3GB DRAM, Samsung Galaxy S8 uses 4GB DRAM, Huawei P10 Plus and HTC U11 are equipped with 6GB DRAM. The Singapore-based One Plus 5 smartphone, the first smart phone from Razer, known primarily for video game equipment, also boasts 8GB of DRAM.

However, IC Insights warns that based on historical trends, the DRAM industry may experience a long-term downward trend in the near future as prices will begin to decline as production capacity increases and DRAM production increases Fear will be 2 years.

Samsung and SK hynix announced new capital expenditures into the new DRAM production will be trial production in the second half of 2018, which may ease the first half of 2018 DRAM rally. Samsung Pyeongtaek South Korea is expected in the fourth quarter of 2018 Production DRAM, SK hynix also announced plans to build a new production line in Wuxi, China plant.

IC Insights also said DRAM maker Samsung and SK hynix will have new capacity out of the top 2. And the No. 3 maker of Micron can not afford to sit idly by, especially in the highly competitive DRAM industry. Micron may also re-create a new wafer plant.

2.10 / 7nm, the memory market continues to promote the growth of wafer equipment demand

With the tremendous demand for 3D NAND and DRAM devices, Fab vendors ushered in a boom cycle in 2017. However, in logic / wafer devices, device requirements are still relative in 2017 Tepid equipment demand looks strong in 2018, although the industry will hardly exceed the record set by 2017. In fact, according to the current forecast, IC equipment market is expected to cool down in 2018, and then converted to More normal growth mode.

According to the VLSI research data, the semiconductor device market is expected to reach US $ 70.4 billion in 2017, up 30.6% from US $ 53.9 billion in 2016. In 2018, the IC equipment market is expected to reach US $ 73.5 billion, an increase of 4.4% over 2017 .

Figure 1: Semiconductor Equipment Market Growth

Of course, these forecasts are subject to change because many factors may affect the fab industry, such as economic and political factors, that play an important role in the arena.

Fab supplier is still very optimistic Arthur Sherman, vice president of Applied Materials Marketing and Business Development, said WFE (wafer fab equipment) market is expected to increase in 2018, as demand is more robust.According to sources, Silicon levels in smartphones and other mobile devices are also on the rise as vendors add more capabilities, and there are emerging trends such as IoT, big data, artificial intelligence and smart cars that also Are looking forward to the fab market performance.

By doing so, key markets that affect equipment expenditures in 2018 and beyond are analyzed.1 Some chipmakers will migrate from 16nm / 14nm to 10nm / 7nm logic nodes in 2018, a move that could lead to casting / Demand for devices in the logic skyrocketed. "3D NAND will become a major driver of devices by 2018. According to IC Insights, capital expenditures in Samsung will reach an astonishing $ 14 billion by 2017 in 3D NAND. Capital expenditures in 2017 totaled 26 billion U.S. dollars, including 3D NAND, DRAM (7 billion U.S. dollars) and foundry (5 billion U.S. dollars) .4 China is still a hotbed of investment in fab equipment and multinationals and domestic chipmakers plan Fabrication of new wafer fab in China ⑤ Expected extreme ultraviolet (EUV) lithography is expected to be produced by 2018, but conventional multimode lithography will remain an urgent need for equipment manufacturers to address ⑥ 2018, 200mm The fab continues to be in short supply.

IC market is good, the demand for fab urgent

According to the World Semiconductor Trade Statistics (WSTS) report, the IC market will reach 409 billion U.S. dollars in 2017, an increase of 20.6% over 2016. According to WSTS, the IC industry will reach 4,370 billion U.S. dollars in 2018, an increase of 7% over 2017 %.

On a relatively stable basis, CLSA analyst Sebastian Hou said the overall OEM industry is expected to grow 7% in 2017. OEMs are forecast to grow 6% to 7% in 2018. However, in the area of ​​equipment, the forecast is not For example, at the end of 2016, many predicted that the fab fab equipment (WFE) market will grow from $ 33.5 billion to $ 34 billion in 2017, an increase of about 5% from 2016. As spending on 3D NAND devices soared The WFE market has exceeded expectations. "Oreste Donzella, Senior Vice President and Chief Marketing Officer, Global Customer Solutions, KLA-Tencor, said the previous WFE goal in 2017 was more than $ 45 billion, an increase of 20% to 25% from the same period last year, The uncertainty.

Will this momentum continue until 2018? To date, it looks relatively stable and suppliers are cautiously optimistic Donzella said the WFE forecast for 2018 will increase by as much as a single-digit percentage of 2017.

In another forecast, SEMI expects equipment sales to reach 55.9 billion U.S. dollars in 2017, up 35.6% from 2016. SEMI said the device market will reach 60.1 billion U.S. dollars in 2018, an increase of 7.5% over 2016.

Figure 2: Year-end equipment forecast

The WFE needs appear to be reliable among the three major growth drivers for fab tool vendors DRAM, NAND and foundry / logic. Donzella said revenue growth, especially in the memory markets (DRAM and 3D NAND), is very strong and is expected next year WFE will grow dramatically DRAM drivers for smartphones and servers Solid state drives (ssd) and smartphones are driving demand for NAND, while FPGAs and processors are expected to jump to 10nm / 7nm.

There are other drivers as well.'We're at the beginning of an incredible transformation, from translation and speech recognition to autonomous vehicles, to adding the power of machine learning and artificial intelligence to a range of devices and services, 'said Sherman 'Such a shift is likely to change our economy in the coming decades and the drivers for these changes will be the new computing platform and the addition of many existing products, services and business models that will further drive new data generation, Calculate and store requirements. '

So where does the problem lie? Sherman said there is always a high level of macroeconomic impact on spending on electronics, but there are some strong trends that allow us to think more about stability and rising.

Others agree that the underlying learning technology behind this will affect the field of semiconductor design and manufacturing just as it will affect every business in the next three to 10 years.A precise simulation will create a wealth of data to train a depth Learning engine.While the actual data from the factory inspection and SEM images will be used as training data, it is based on simulation that can automatically generate a large number of data with various variables to serve the learning platform, said Aki Fujimura, D2S CEO.

Wafer market downturn, ushered in the spring

One way to capture market trends is to look at the two key segments of silicon wafers and photomasks.

Over the years, the silicon market has been plagued by oversupply, resulting in continued price downturn, but the demand in 2017 increased, the silicon wafer market is moving towards equilibrium, so the price has increased.

According to SEMI data, silicon wafer shipments are projected to reach 11,814 million square inches by 2018, an increase of 3.2% from 2017. According to SEMI, the 2017 growth rate is 8.2%.

Figure 3: Wafer shipments forecast

According to SEMI, the market for photomasks registered sales of $ 3.32 billion in 2016, an increase of 2% from 2015. In 2017 and 2018, the mask market is expected to grow by 4% and 3% respectively.

At advanced nodes, photomasks become more and more complex and difficult to fabricate.There are several challenges, but the main problem is that using today's monopole beam electron beam system takes a longer time to make a mask.Therefore, For complex masks, the industry is beginning to adopt a new multi-beam system in the mask shop.

IMS Nanofabrication, a subsidiary of Intel Corp., has released multi-beam mask writers on the market, while competitor NuFlare is also selling a similar system.

D2S's Fujimura said that whether it is a multi-patterning complex ILT (reverse lithography) pattern for 193i lithography, or an EUV mask that is about to have sub-resolution 30nm sub-features, Need multi-beam engraved.

Mask fabrication is associated with lithography, and the biggest issue in lithography is whether EUV lithography will be put into production by 2018. Chip makers want EUVs for 7nm or 5nm. Theoretically, EUVs can reduce these However, today's EUV is not yet ready for use, and the availability of EUV depends on whether the EUV power supplies, photoresists and masks are ready.

Despite many challenges, Samsung hopes to use EUVs at the 7nm logic process node in 2018. In contrast, other chipmakers will take a more conservative approach, starting with traditional 193nm immersion and multiple exposure at 10nm / 7nm Cut into.

Fujimura said it is clear that the EUV will start using EUV in production no matter it goes into production in the second half of 2018 or in 2019. The EUV will initially be deployed in areas where 193nm Multiple Exposure This will allow the ecosystem to transition more smoothly, rather than all of a sudden.

Chip makers may insert EUVs in one or more layers in a short period of time, but actual mass production will still take a year or two. EUV lithography and its ecosystems will continue to develop between 2018 and 2019, 2020 The situation is also continuing to see good.

However, the EUV will not dominate the entire landscape, and at the time of insertion, the EUV will be used primarily for cutting and vias in foundry and logic applications, accounting for about 20% of the entire exposure market, with the remainder being multiple exposures.

Fad size breakthrough: planned to migrate to 10nm / 7nm

For device vendors, the leading market / logic market has been relatively sluggish in recent years, with chip makers requiring significant R & D and capital investment at every node, and fewer and fewer per node Foundry customers can afford R & D costs.

GlobalFoundries, Intel, Samsung and TSMC are expected to migrate from 16-nm / 14-nm FinFETs to 10-nm / 7-nm FinFETs by 2018. Intel is adding 10 nm and foundries are in the process of preparing them. In short, Intel's 10nm technology is equivalent to other foundries 7nm node.

Figure 4: FinFET vs. planar

In any case, chipmakers face some challenges, such as Intel originally expected to enter mass production of 10nm in the second half of 2017, but due to technical challenges, this time-frame slowed until the first half of 2018. Abhinav, an analyst at investment bank Morningstar In a recent interview, Davuluri said that Intel is a profitable company and, according to what they saw from their product launch and schedule, they had to push away (10nm) to production by the end of the year, while at 2018 is not necessarily full force.

Time tells us whether GlobalFoundries, Samsung and TSMC will compete at 7nm, according to Samuel Wang, an analyst with Gartner, which looks to have made good progress in all three foundries.

Nevertheless, it is expected that the adoption rate of 10nm / 7nm will gradually increase by 2018. Wang said that revenue in this area will increase from 2.5 billion U.S. dollars to 3 billion U.S. dollars by 2018. In contrast, 10-million revenue forecast It will reach 5 billion U.S. dollars in 2017.

According to Sherman, an application material, 10 nm / 7 nm is expected to become a large, long node over time, a fraction of the 28 nm node, and 5 nm is the same.

Memory area

The memory market has been a major driver for fab devices in 2017. Similar models are expected to follow in 2018. Sherman said that the huge demand for memory technology has resulted in the highest shipments in history DRAM and NAND memory in smartphones last d. Recently, the average smart phone usage has increased by about 50% on average from about 24G in 2016 to about 38G today.One major memory vendor recently announced 512G products for future smartphones Use, good prospects.

SSDs are also driving demand for NAND, and stakeholders said: 'The memory market is healthy and NAND demand is growing at between 40% and 50%.'

However, data from market research firm TrendForce shows NAND is expected to see a seasonal slowdown in the first quarter of 2018, resulting in oversupply and falling average selling prices, although it is unclear how long the NAND surplus will last.

In the meantime, Intel, Micron, Samsung, SK Hynix, Toshiba and Western Digital will continue to add 3D NAND in 2018, so 3D NAND will see another huge payout cycle.

The reason for the strong growth of 3D NAND is that today's 2D NAND has reached the physical limits of a 1xnm node, so for some time it is necessary for NAND vendors to migrate from 2D NAND to 3D NAND.

3D NAND is harder to manufacture than previously thought, unlike 2D NAND, which is a 2D structure that resembles a vertical skyscraper in which horizontal layers are stacked and then connected through tiny vertical channels.

Figure 5: NAND architecture

Figure 6: 3D NAND architecture

So the conversion time from 2D to 3D is longer than expected.According to Applied Materials estimates, NAND currently has 1.6 million wafers, and currently only half of the capacity is being converted to 3D NAND.

In addition to conversions, there are some questions as to the size of 3D NAND, where 3D NAND vendors have moved from 48 to 64 in 2017 and 96 in R & D. We will see 96 in 2018 Layer equipment. Density is expected to double every year.

However, the 96-layer development of NAND devices is challenging, and as a result, the industry is moving toward a manufacturing technology known as serial stacking, for which vendors will develop and connect two 48-layer 3D NAND devices They form a 96-layer 3D device, so we have two layers of 3D NAND - 48 + 48 layers.

With serial stacks, 3D NAND scales to 512 or more layers, but serial stacking adds more manufacturing costs and presents a difficult challenge for the industry.

China fab popularity diminished

Meanwhile, according to SEMI, South Korea is expected to overtake Taiwan as the largest market for fab equipment in 2017. Taiwan will rank second, while China will rank third.

According to data from the industry group, in 2018, South Korea is expected to maintain its number one spot and China will be second in number.

SEMI said there are 15 new fab projects in China, including multinationals and domestic chip makers, which are still in an unknown state due to the instability in China but it is clear that China is working hard to reduce Its huge trade imbalance in the field of IC continues to be imported by large numbers of chips from foreign suppliers.

KLA-Tencor is already seeing hope in China with many important orders. KLA-Tencord's Donzella said KLA-Tencor is at the forefront of investment because of the need to test and measure tools to meet the requirements of process equipment Applied Materials' Sherman predicts that by 2018, equipment investment in Chinese fabs will grow by about $ 2 billion over 2017.

In the meantime, over the past two years, the IC industry has experienced a serious shortage of 200-mm fab capacity due to a surge in demand for certain chips, which in turn has driven the demand for 200-mm devices, with the problem that few 200-mm devices are available and hence the price Relatively high.

'At 200mm, 2018 will be similar to 2017.' Fab utilization at 200mm has reached or close to 100% in 2017. We think the overall situation in 2018 may be similar to that in 2017, and the 200mm fab utilization Will continue to be maintained at more than 90% .According to the stakeholders, only 500 available 200mm tools on the market, and many tools are not met in today's fabs, so continue to supplement the shortage of 200mm equipment is imminent.

2016 GoodChinaBrand | ICP: 12011751 | China Exports